summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--README.md8
-rw-r--r--circuit-without-eq.svg11150
-rw-r--r--gschem/.gitignore1
-rw-r--r--gschem/crossover.sch610
-rw-r--r--gschem/outputs.sch464
-rw-r--r--gschem/preamp_bass.sch225
-rw-r--r--gschem/preamp_melody.sch225
-rw-r--r--gschem/project2
-rw-r--r--gschem/tuner.sch277
9 files changed, 12962 insertions, 0 deletions
diff --git a/README.md b/README.md
new file mode 100644
index 0000000..7c43a84
--- /dev/null
+++ b/README.md
@@ -0,0 +1,8 @@
+# What is this?
+
+This is a dual channel preamp in the making. I intend to use it with my [Chapman
+Stick](http://stick.com).
+
+You will need the [gEDA programs](http://www.geda-project.org) to view and edit
+these files. The [PCB editor](http://pcb.geda-project.org) is required to edit
+the board.
diff --git a/circuit-without-eq.svg b/circuit-without-eq.svg
new file mode 100644
index 0000000..99f0616
--- /dev/null
+++ b/circuit-without-eq.svg
@@ -0,0 +1,11150 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+ xmlns:dc="http://purl.org/dc/elements/1.1/"
+ xmlns:cc="http://creativecommons.org/ns#"
+ xmlns:rdf="http://www.w3.org/1999/02/22-rdf-syntax-ns#"
+ xmlns:svg="http://www.w3.org/2000/svg"
+ xmlns="http://www.w3.org/2000/svg"
+ xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+ xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+ width="1264.9606"
+ height="786.61414"
+ id="svg2"
+ version="1.1"
+ inkscape:version="0.48.3.1 r9886"
+ sodipodi:docname="circuit-without-eq.svg"
+ style="enable-background:new"
+ inkscape:export-filename="/home/fluffy/docs/music/stick-preamp/circuit.png"
+ inkscape:export-xdpi="90.002808"
+ inkscape:export-ydpi="90.002808">
+ <defs
+ id="defs4">
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7190"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6241"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6186"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6182"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6178"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7852"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7429"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7425"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7421"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7258"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7129"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6275"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5944"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5919"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5894"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5781"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5773"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5519"
+ is_visible="true" />
+ <inkscape:perspective
+ sodipodi:type="inkscape:persp3d"
+ inkscape:vp_x="0 : 526.18109 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_z="744.09448 : 526.18109 : 1"
+ inkscape:persp3d-origin="372.04724 : 350.78739 : 1"
+ id="perspective10" />
+ <inkscape:perspective
+ id="perspective4932"
+ inkscape:persp3d-origin="372.04742 : 350.78699 : 1"
+ inkscape:vp_z="744.09485 : 526.18048 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 526.18048 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6354"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6378"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6413"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6457"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6488"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6519"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6550"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6581"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6643"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6674"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6696"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6718"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective6824"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7026"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7262"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7462"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7537"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7563"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7588"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7613"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:perspective
+ id="perspective7638"
+ inkscape:persp3d-origin="0.5 : 0.33333333 : 1"
+ inkscape:vp_z="1 : 0.5 : 1"
+ inkscape:vp_y="0 : 1000 : 0"
+ inkscape:vp_x="0 : 0.5 : 1"
+ sodipodi:type="inkscape:persp3d" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5919-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5894-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-2-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-2-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-5-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-2-6-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-2-7-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6275-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-6-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5894-3-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5919-3-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5919-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5894-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-2-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5781-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5777-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5773-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-6-8-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-8-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-5-4-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-1-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-4-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-6-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-7-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594-0-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7129-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7258-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-6-2-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-7-4-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594-0-6-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-6-8-0-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-8-3-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-5-4-9-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-6-8-0-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-8-3-72"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-5-5-4-9-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-5-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-5-7-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7-8-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7-8-4-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7-8-4-0-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-6-2-8-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-7-4-4-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594-0-6-8-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-27"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-2-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-8-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-6-2-8-7-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-7-4-4-1-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594-0-6-8-7-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-27-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-2-2-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-8-2-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-1-5-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-4-1-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-8-2-9-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-2-2-5-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-27-7-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-5-7-1-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-5-7-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-2-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-8-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-8-1-5-1-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-6-4-1-0-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7258-3-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-27-7-1-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-27-7-1-4-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-4-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-4-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7429-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7429-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7421-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-4-9-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-4-9-4-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7-8-4-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7258-3-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7421-7-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7-8-4-0-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5671-7-8-4-0-5-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-7-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-7-7-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-7-7-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-7-7-2-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7433-9-7-7-2-5-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-90"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-96"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-21"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-45"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-12"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-12"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-76"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-0-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-1-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-4-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-2-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-7-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-45-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-9-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-12-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-8-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-12-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-4-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-1-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-76-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-5-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-5-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-0-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-90-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-6-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-0-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-0-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-2-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-4-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-6-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-0-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-96-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-1-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-9-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-6-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-5-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-9-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-0-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-7-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-90-4-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-6-7-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-0-1-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-0-8-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-2-4-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-4-5-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-6-5-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-0-8-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-96-1-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-1-3-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-9-8-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-6-8-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-5-2-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-9-9-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-0-5-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-7-3-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7852-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7852-2-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7852-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-90-4-0-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-6-7-5-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-0-1-4-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-0-8-6-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-2-4-1-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-4-5-8-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-6-5-1-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-0-8-8-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-96-1-1-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-1-3-9-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-9-8-1-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-6-8-6-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-5-2-1-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-9-9-5-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-0-5-0-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-7-3-9-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-0-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-1-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-4-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-2-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-7-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-45-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-9-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-12-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-8-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-12-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-4-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-1-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-76-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-5-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-5-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-0-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-0-7-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-1-7-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-4-1-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-2-1-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-7-5-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-45-9-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-9-7-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-12-7-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-8-6-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-12-7-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-4-3-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-1-6-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-76-5-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-5-6-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-5-3-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-0-9-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-0-7-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-1-7-72"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-4-1-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-2-1-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-7-5-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-45-9-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-9-7-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-12-7-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-8-6-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-12-7-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-4-3-86"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-1-6-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-76-5-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-5-6-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-5-3-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-0-9-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-0-7-55"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-1-7-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-4-1-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-2-1-17"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-7-5-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-45-9-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-9-7-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-12-7-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-8-6-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-12-7-30"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-4-3-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-1-6-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-76-5-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-5-6-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-5-3-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-0-9-35"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-0-7-10"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-1-7-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-2-4-1-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-3-2-1-7"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-9-7-5-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-4-45-9-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-4-9-7-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-2-12-7-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-8-6-53"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-12-7-38"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-9-4-3-28"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-9-1-6-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-5-76-5-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-4-5-6-08"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5877-5-7-5-3-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5881-0-6-0-9-93"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-16"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-9-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-1-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-5-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-0-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-7-1"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-7-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-7-1-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-7-0-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5586-6-2-8-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5590-7-4-4-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5594-0-6-8-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-4-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-4-5-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5948-4-8-1-8-3-3"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6178-9"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6178-0"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect6241-4"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-2"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-5-0-8"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect5407-0-9-16-6"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7258-3-5"
+ is_visible="true" />
+ <inkscape:path-effect
+ effect="spiro"
+ id="path-effect7258-3-5-7"
+ is_visible="true" />
+ </defs>
+ <sodipodi:namedview
+ id="base"
+ pagecolor="#ffffff"
+ bordercolor="#666666"
+ borderopacity="1.0"
+ inkscape:pageopacity="0.0"
+ inkscape:pageshadow="2"
+ inkscape:zoom="2.8284271"
+ inkscape:cx="542.39229"
+ inkscape:cy="182.59065"
+ inkscape:document-units="px"
+ inkscape:current-layer="layer7"
+ showgrid="false"
+ units="mm"
+ inkscape:showpageshadow="false"
+ inkscape:window-width="1920"
+ inkscape:window-height="1060"
+ inkscape:window-x="0"
+ inkscape:window-y="20"
+ inkscape:window-maximized="0"
+ inkscape:snap-to-guides="true"
+ showborder="true"
+ borderlayer="false"
+ inkscape:snap-global="true"
+ showguides="true"
+ fit-margin-top="0"
+ fit-margin-left="0"
+ fit-margin-right="0"
+ fit-margin-bottom="0.1"
+ inkscape:guide-bbox="true">
+ <inkscape:grid
+ type="xygrid"
+ id="grid2816"
+ empspacing="5"
+ visible="true"
+ enabled="true"
+ snapvisiblegridlinesonly="true"
+ units="in"
+ spacingx="0.1in"
+ spacingy="0.1in"
+ dotted="false" />
+ </sodipodi:namedview>
+ <metadata
+ id="metadata7">
+ <rdf:RDF>
+ <cc:Work
+ rdf:about="">
+ <dc:format>image/svg+xml</dc:format>
+ <dc:type
+ rdf:resource="http://purl.org/dc/dcmitype/StillImage" />
+ <dc:title />
+ </cc:Work>
+ </rdf:RDF>
+ </metadata>
+ <g
+ inkscape:groupmode="layer"
+ id="layer14"
+ inkscape:label="white bg"
+ sodipodi:insensitive="true">
+ <rect
+ style="fill:#ffffff;fill-opacity:1;stroke:none"
+ id="rect8680"
+ width="1350"
+ height="855"
+ x="-45"
+ y="-23.385864" />
+ </g>
+ <g
+ style="opacity:0.40287769;display:inline"
+ inkscape:label="PCB"
+ id="g5072"
+ inkscape:groupmode="layer"
+ transform="translate(278.53711,287.22095)"
+ sodipodi:insensitive="true">
+ <g
+ id="g10874"
+ transform="translate(9,-2.5781253e-7)">
+ <rect
+ style="fill:#ebe1b8;fill-opacity:1;stroke:#000000;stroke-width:0.7086615;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+ id="rect5074"
+ width="566.22046"
+ height="353.62204"
+ x="23.462891"
+ y="4.3931856" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 45.46289,22.393182 0,324.000008"
+ inkscape:path-effect="#path-effect7852"
+ id="path7850"
+ d="m 45.46289,22.393182 0,324.000008"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ sodipodi:nodetypes="cc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 45.46289,22.393186 531,0"
+ inkscape:path-effect="#path-effect7852-2"
+ id="path7850-2"
+ d="m 45.46289,22.393186 531,0"
+ style="opacity:0.40287769;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 45.46289,346.39319 531,0"
+ inkscape:path-effect="#path-effect7852-2-4"
+ id="path7850-2-6"
+ d="m 45.46289,346.39319 531,0"
+ style="opacity:0.40287769;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 576.46289,22.393186 0,324.000004"
+ inkscape:path-effect="#path-effect7852-3"
+ id="path7850-9"
+ d="m 576.46289,22.393186 0,324.000004"
+ style="opacity:0.40287769;fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ sodipodi:nodetypes="cc" />
+ </g>
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer2"
+ inkscape:label="connections"
+ style="display:inline"
+ transform="translate(278.53711,287.22095)">
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 252.46289,265.39319 27,0 0,9"
+ id="path6107"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 225.46289,265.39319 -27,0"
+ id="path6136"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 261.46289,283.39319 0,27"
+ id="path6232"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 279.46289,265.39319 0,-27"
+ id="path6344"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 261.46289,238.39319 -18,0 0,-9"
+ id="path6346"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 243.46289,247.39319 17.93256,0.0902 0.0674,8.9098"
+ id="path6348"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 261.46289,238.39319 9,0 0,9"
+ id="path6352"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="M 135.53028,265.25462 z"
+ id="path6105"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 252.46289,274.39319 18,0"
+ id="path6514"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 279.46289,229.39319 9,0 0,54 -36,0"
+ id="path7564"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 225.46289,274.39319 9,0 4e-5,-36 -36.00004,0 0,-45"
+ id="path7552-7-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 234.46289,130.39319 -8.71885,-0.0902 0,-9 -18.28115,0.0902 0,36 -9,0"
+ id="path6746-6-5-9"
+ sodipodi:nodetypes="cccccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 216.46289,157.39319 0,54 63,0 0.28111,-36.09019"
+ id="path6610-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 261.46289,184.39319 0,18"
+ id="path6746-6-5-9-3-7-3"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 225.74404,139.25468 0,9"
+ id="path6746-6-5-9-3-7-37"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 270.46289,202.39319 0,-45 -9,0 0,-9"
+ id="path6746-6-5-9-3-7-2-0-9"
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 225.46289,175.39319 0,-36 9,0"
+ id="path6746-6-5-9-3-7-2-0-7"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 207.46289,76.393186 18,0"
+ id="path6746-6-5-9-1"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 216.46289,58.393186 9,0 0,9 c 9,0 9,0 9,0"
+ id="path6746-6-5-9-1-9-9-0-8-5"
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 225.46289,94.393186 0.28115,-18.090222 8.71885,0.09022"
+ id="path6746-6-5-9-1-9-9-0-8"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46288,148.39319 -9,-2e-5 0,2e-5"
+ id="path6605-8-5-3"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46288,121.39316 0,9.00003"
+ id="path6617-4-1-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 504.46289,103.39319 0,54 -9,0"
+ id="path6633-8-8-0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 540.46289,175.39319 9,0 0,-27"
+ id="path7010-1-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 540.46289,148.39319 0,18"
+ id="path7012-5-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46288,148.39319 0,9 0,9"
+ id="path6605-8-5-0-9"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 477.46289,130.39319 0,18 -18,0 0,45 18,0"
+ id="path4842-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 522.46289,148.39319 0,-18 -9,0"
+ id="path6627-8-4-2-1"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 531.46288,139.39319 0,0 0,0"
+ id="path4733-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46289,247.39319 -10e-6,-8.99999"
+ id="path6617-4-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 549.46289,220.39319 0,18"
+ id="path7010-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 540.46289,238.39319 0,-9"
+ id="path7012-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 522.46289,238.39319 9,0"
+ id="path4739"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 513.46289,193.39319 9,0 0,27"
+ id="path4840"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46289,220.39319 -9,0"
+ id="path6605-8-5-09"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 261.46289,85.393186 0,27.000004 9,0"
+ id="path6746-6-5-9-1-9-9-0-8-0"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 261.46289,76.393186 9,-2e-6 9,2e-6"
+ id="path6746-6-5-9-1-9-9-0-8-0-4"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 261.46289,139.39319 27,0 0,54"
+ id="path6746-6-5-9-3-7-8"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 207.53033,292.30296 -0.0674,-17.90977 18,0"
+ id="path6136-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 189.46289,265.39319 0,-18 36.06744,-0.0902"
+ id="path6144-3"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 225.46289,256.39319 -27,0"
+ id="path6144-3-3-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 207.53033,292.30296 -0.0674,18.09023 -9,0"
+ id="path6136-3-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 216.46289,292.39319 4e-5,27 -45,0 0.0674,-27.09023"
+ id="path6136-3-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 171.46289,238.39319 0.0674,17.90977"
+ id="path6144-3-3-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 423.53033,49.302958 0,9"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 441.53033,58.302958 0,-18"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-8"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 522.46289,85.393186 -9,0 0,-36 9,0"
+ id="path7016-5-6-6"
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 549.46289,256.39319 27,0 0,-180.000004 -18,0"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-5"
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 540.46289,49.393186 18.0674,-0.09023"
+ id="path7016-5-6-6-0"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 459.53033,76.302958 -9,0 0,-27"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-93-3"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46289,220.39319 0,-9"
+ id="path7012-5-3"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 531.46289,238.39319 0,-18"
+ id="path7012-5-0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 513.46289,121.39319 18,0 0,27"
+ id="path4840-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 117.46289,157.39319 -18,0"
+ id="path6746-6-5-9-1-9-2"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 117.46289,157.39319 0,9 9.06744,-0.0902"
+ id="path6746-6-5-9-1-9-9-0-8-5-9"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 126.46289,175.39319 -54,-3e-5 0,-26.99997 m 9,0 0,-18"
+ id="path6746-6-5-9-1-9-9-0-8-7-5"
+ sodipodi:nodetypes="ccccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 180.46289,175.39319 -27,0"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-4"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 162.46289,211.39319 -9,0 0.0674,-27.09023"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-9"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 72.46289,202.39319 9,0"
+ id="path6746-6-5-9-1-9-2-4"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 108.46289,193.39319 0,27 9.06744,-0.0902 m 18,0 44.93256,0.0902 0,-9"
+ id="path6746-6-5-9-1-9-2-4-1"
+ sodipodi:nodetypes="cccccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 171.46289,139.39319 0,-9"
+ id="path6746-6-5-9-1-9-2-4-13"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 531.46289,148.39319 0,9 -18,0 0,-9"
+ id="path4739-6"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 531.46289,229.39319 -18,0 0,-18"
+ id="path4840-76"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 504.46289,202.39319 0,36"
+ id="path4840-6"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 513.46289,238.39319 0,36 27,0 0,-18"
+ id="path4840-6-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 486.46289,220.39319 18,0"
+ id="path4840-6-5-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 531.46289,238.39319 0,18 0,9"
+ id="path4840-6-5-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 513.46289,103.39319 0,-9.000004 18,0 0,18.000004 9,0"
+ id="path6627-8-4-2-1-9"
+ sodipodi:nodetypes="ccccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 522.46289,103.39318 0,18.00001"
+ id="path7012-5-7-0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 513.46289,112.39319 9,0"
+ id="path7012-5-7-0-3"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 477.53033,31.30296 -18,0"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-2"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 459.53033,67.302958 0,-18"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-8-8-0"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 495.46289,22.393186 -9,0"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-2-6"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 99.53031,94.302958 -27.06742,0.09023"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-4"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 135.53031,58.302958 -36,0 0,27"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-4-3"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 135.46289,103.39319 0,-9.000004 -8.93258,-0.09023"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-46"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 162.46289,85.393186 -36,0"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-46-9"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 99.53031,76.302958 -18.06742,0.09023"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-8"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 162.46289,85.393186 0,18.000004"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-9"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 72.46289,76.393186 0,-27 9,0"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-8-0"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 162.46289,76.393186 -0.0674,-26.909774 0.0674,-0.09023"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-8-0-4"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 99.53031,85.302958 -36.06742,0.09023"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-8-0-1"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 126.53031,40.302958 -0.0674,9.090228"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-8-0-9"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 117.46289,40.393186 0.0674,8.909772"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-8-0-7"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <g
+ inkscape:groupmode="layer"
+ id="layer9"
+ inkscape:label="V+"
+ style="display:inline">
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 234.46289,85.393186 0,9 9,0 4e-5,54.000004 -9,0 0,9"
+ id="path7452-4-8"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 468.46289,265.39319 0,63 -72,0"
+ id="path7452-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 396.46289,328.39319 -170.99996,0 c -0.13285,-14.99953 -0.028,-30.00012 -4e-5,-45"
+ id="path7452-4-8-0-6"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 414.53033,67.30296 18,0 0,18"
+ id="path7452-4-8-0-64"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 477.46289,121.39319 -9,0 0,18 -18,0 0,63"
+ id="path7452-4-8-0-64-2-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 468.46289,202.39319 0,63 27,0"
+ id="path7452-4-8-0-64-2-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 495.46289,175.39319 -9,0 0,27 -36,0"
+ id="path7452-4-8-0-64-2-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 468.46289,85.393186 0.0674,-27.090228"
+ id="path7452-4-8-0-64-4-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 225.46289,328.39319 -63,0 0.0674,-99.09023 -99.0674,0.0902 0,-89.99997 0,-27 54,-6e-5 0,-35.999974 9,0 m 0,-9 0,9 18,0 0.0674,-36.09026 99,0 0,45 -9,0"
+ id="path7194-1-2-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccccccccccccccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 468.46289,121.39319 0,-36.000004 -36,4e-6"
+ id="path7194-8-2-6"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 126.53033,184.30284 0,45.00012"
+ id="path7194-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 432.53033,67.30296 0,-18"
+ id="path7452-4-8-0-64-8"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#ff0909;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 468.46289,310.39319 9,0"
+ id="path7194-1-7-2-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer11"
+ inkscape:label="V-"
+ style="display:inline">
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 243.46289,292.39319 0,-36 9,0"
+ id="path7147"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 261.74404,121.30296 -9.21371,0 -0.0674,81.09023"
+ id="path7147-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 261.74404,58.302965 -9.21371,-5e-6 c 0,12 0,51.00001 0,63"
+ id="path7147-2-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:#f5be3c;fill-opacity:1;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46288,175.39319 0,9"
+ id="path6619-5-4-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46288,184.39319 -17.99999,0"
+ id="path6615-2-2-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46289,184.39319 0,18"
+ id="path6615-2-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 477.46289,67.393186 0,-18 -9,0 0,-9 -18,0 0,-9 -44.93256,-0.09023 0,45 8.93256,0.09023"
+ id="path7052-7-3-9-97-0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 144.53033,211.30296 0,-54 9,0"
+ id="path7147-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 558.46289,193.39319 -18,0"
+ id="path4952-7-2-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 495.46289,256.39319 -18,0 0,-27 0,-18 18,0 0,-27 45,0"
+ id="path4952-7-2-2-6"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 486.46289,157.39319 -18,0"
+ id="path6615-2-2-1-2-5-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 108.53031,67.302957 0,36.000003 -9,0"
+ id="path7147-7-3"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 99.53031,103.30296 -45.06742,0.0902 0,-72.000004 198,0 0.0674,26.909774"
+ id="path7147-7-3-0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 54.46289,103.39319 0,135 99.06744,-0.0902 -0.0674,99.09023 251.93256,0.0902 99.0674,-0.0902 0,-54 0,-27 -9,0"
+ id="path7147-7-3-0-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 504.46289,184.39319 0,-18 -18,0 0,-54 -9,0 0,-45.000004 18.0674,-0.09023"
+ id="path6615-2-2-1-2-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 252.53033,175.30296 -9,0"
+ id="path7147-2-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 261.53033,58.30296 45,0 -0.0674,261.09023 -63,0 -4e-5,-27"
+ id="path7147-7-3-0-6"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 333.46289,319.39319 -27,0"
+ id="path4952-7-2-2-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 522.46289,31.393186 -18,0 0,18 -27,0"
+ id="path6615-2-2-1-2-5-5-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 504.46289,49.393186 0,18"
+ id="path7147-2-4-6-96"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 432.46289,22.393186 0,9"
+ id="path7147-2-4-6-96-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 504.46289,310.39319 -9,0"
+ id="path4952-7-2-2-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer12"
+ inkscape:label="VGND"
+ style="display:inline">
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 234.46289,121.39319 0,-9 -36,0 -9,0 0,27 0,99 -9,0 0,63 18,0"
+ id="path7052-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccccccc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 261.53033,130.30296 36,0.0483 -0.0674,180.04193 -27,0"
+ id="path7052-7-3"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 189.46289,112.39319 0,-63.000004 45,0 0,9"
+ id="path6615-2-2-6-3"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 261.53033,67.30296 36,0 0,63"
+ id="path6615-2-2-6-3-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 153.53033,166.30296 35.93256,0.0902 m 4e-5,-18 -63,0 0.0675,8.90977"
+ id="path7052-7-3-9-9-1-0-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 126.46289,148.39319 0.0674,-45.0902"
+ id="path7052-7-3-9-9-1-0-7-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 189.46289,175.39319 18,0 0,45 90,0"
+ id="path7052-7-3-9-9-1-0-4-3"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 189.46289,58.393186 -9,0"
+ id="path7052-7-3-9-9-1-0-7-4-4-8"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 126.46289,148.39319 -36,0"
+ id="path7052-7-3-9-9-1-0-7-4-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer13"
+ inkscape:label="bridges"
+ style="display:inline">
+ <path
+ style="fill:none;stroke:#b33cf5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 135.53032,220.30296 c 0,0 -4.75736,-5 -8.99999,-5 -4.24264,0 -9,5 -9,5"
+ id="path6746-6-5-9-1-9-2-4-8"
+ sodipodi:nodetypes="csc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#b33cf5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 144.46289,238.39319 c 0,0 -5,-9.75737 -5,-14 0,-4.24263 5,-12.99999 5,-12.99999"
+ id="path6746-6-5-9-1-9-2-4-8-2-5"
+ sodipodi:nodetypes="csc"
+ inkscape:connector-curvature="0" />
+ </g>
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 234.46289,175.39319 0,18"
+ id="path6746-6-5-9-3-7-3-7"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 315.46289,310.39319 18,0"
+ id="path6746-6-5-9-8-4-2-6-7-0"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 495.46289,40.393186 0,-9"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-2-6-3"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 540.53033,40.302961 -18,0"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-2-6-8"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 225.46289,193.39319 0,9"
+ id="path6746-6-5-9-3-7-3-7-2"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 261.46289,166.39319 0,9"
+ id="path6746-6-5-9-3-7-3-7-2-5"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 477.46289,40.393186 9,0 0,-18 -18,0"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-2-68"
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 540.46289,67.393186 -9,0 0,-27"
+ id="path6746-6-5-9-8-3-8-0-4-0-3-0-7-7-6-2-6-8-3"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <g
+ style="display:inline;enable-background:new"
+ id="g11638"
+ transform="matrix(-1,0,0,1,2097.9258,-378.18044)" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 171.46289,103.39319 -9,0"
+ id="path6746-6-5-9-1-9-9-0-8-7-5-6-8-0-4-3"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 90.46289,130.39319 0,-9 -18,0 0,9"
+ id="path6746-6-5-9-1-9-9-0-8-7-9"
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 117.46289,193.39319 0,-18"
+ id="path6746-6-5-9-1-9-9-0-8-5-9-4"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 90.46289,202.39319 9,0 0,-9"
+ id="path6746-6-5-9-1-9-2-4-9"
+ sodipodi:nodetypes="ccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 90.462885,220.39319 9,0"
+ id="path6746-6-5-9-1-9-2-4-94"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 297.46289,49.393186 9,0"
+ id="path6746-6-5-9-1-0"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 279.46289,112.39319 -9,0"
+ id="path6746-6-5-9-1-0-8"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 513.46289,76.393186 9,0"
+ id="path7016-5-6-6-0-8"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.5;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 549.46289,112.39319 18,0 0,-27.000004 -9,0"
+ id="path6627-8-4-2-1-9-9"
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 270.46289,49.393186 9,5e-6"
+ id="path6746-6-5-9-1-0-4"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 261.46289,40.393186 0,9.000005"
+ id="path6746-6-5-9-1-0-4-6"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 315.46289,40.393191 0,8.999995"
+ id="path6746-6-5-9-1-0-9"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 279.46289,31.393191 0,9"
+ id="path6746-6-5-9-1-0-4-6-3"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#3c81f5;stroke-width:0.57735026;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ d="m 297.46289,31.393191 0,9"
+ id="path6746-6-5-9-1-0-4-6-7"
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer6"
+ inkscape:label="connection points"
+ style="display:inline"
+ transform="translate(278.53711,287.22095)">
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-0"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,585.69334,227.24752)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-4"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,585.69334,100.79079)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-4-9-2"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,585.69334,163.79083)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-4-9-7"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,585.98913,28.928948)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-4-39-7-1"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,486.53243,55.928946)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-4-39-7-8"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,450.98913,28.928946)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-1-7"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,97.156287,12.844162)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-5-2"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,115.15631,192.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-5-6"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,97.156307,192.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-8-3"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,124.15631,183.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-8-3-2"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,124.15631,210.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,196.15631,220.30085)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-05"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,214.37002,184.30085)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,241.15631,138.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-4-39-7-1-8"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,522.53243,19.928953)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,205.15631,174.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-1-4"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,106.15629,12.844159)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-1"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,151.15631,102.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-0"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,133.15631,184.30085)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-76"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,52.156307,174.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-5"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,70.156307,192.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-8"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,79.156307,174.84416)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-9"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,259.37002,48.844159)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-0-54"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,603.69334,236.24752)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-4-39"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0,0.0984252,-0.19685039,0,594.69334,92.247513)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-1-45"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,259.15631,3.8441593)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-9-6"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,277.37002,3.8441593)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-6"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,259.37002,84.844159)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-7"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,187.37002,49.300859)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-6-7"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,295.37002,12.844159)"
+ inkscape:transform-center-x="1.7716536" />
+ </g>
+ <g
+ inkscape:label="components"
+ inkscape:groupmode="layer"
+ id="layer1"
+ transform="translate(278.53711,-410.81054)"
+ style="display:inline;opacity:0.62658228">
+ <g
+ id="g6397-8-2-7-9-1"
+ transform="matrix(0,-1,-1,0,1439.8251,972.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-5-6"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-53-4"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-86-9"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-5"
+ transform="matrix(0,1,1,0,-475.89929,738.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-3"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-2"
+ inkscape:connector-curvature="0" />
+ </g>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-2-7-4-9"
+ width="4"
+ height="18"
+ x="-857.28601"
+ y="-252.74405"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <g
+ id="g6397-8-2-7-0-4-4"
+ transform="translate(117.46289,10.062496)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0"
+ transform="translate(72.53033,9.9722683)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-0"
+ transform="matrix(0,-1,1,0,-772.89929,1044.4247)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-8"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-9"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-0-0"
+ transform="matrix(-1,0,0,-1,306.46289,1943.7869)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-8-7"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-6-1"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-9-4"
+ inkscape:connector-curvature="0" />
+ </g>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ id="rect5572-2-8-8-2"
+ width="4"
+ height="18"
+ x="952.42468"
+ y="180.46289"
+ transform="matrix(0,1,1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="189.46289"
+ y="954.42468"
+ id="text3751-0-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1"
+ x="189.46289"
+ y="954.42468">56n</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ id="rect5572-2-8-8-2-7"
+ width="4"
+ height="18"
+ x="-947.42468"
+ y="-270.46289"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="261.46289"
+ y="945.42468"
+ id="text3751-0-0-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1-6"
+ x="261.46289"
+ y="945.42468">56n</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-1"
+ width="4"
+ height="18"
+ x="-1010.4247"
+ y="-216.46289"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="207.46289"
+ y="1008.4247"
+ id="text3751-0-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-8"
+ x="207.46289"
+ y="1008.4247">56n</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-1-7"
+ width="4"
+ height="18"
+ x="-938.42468"
+ y="-189.46289"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="180.46289"
+ y="936.42468"
+ id="text3751-0-1-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-8-9"
+ x="180.46289"
+ y="936.42468">56n</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-1-0"
+ width="4"
+ height="18"
+ x="943.42468"
+ y="225.46289"
+ transform="matrix(0,1,1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="234.46289"
+ y="945.42468"
+ id="text3751-0-1-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-8-90"
+ x="234.46289"
+ y="945.42468">56n</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-0-9"
+ transform="translate(180.46311,28.062529)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-8-5"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-6-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-9-9"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-0-0-6"
+ transform="matrix(0,1,-1,0,1223.8251,828.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-8-7-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="M 98.999998,246.33071 99,255.33069"
+ id="path6401-4-5-3-3-6-0-4-6-1-8"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-9-4-5"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-0-9-5"
+ transform="translate(99.46289,19.062492)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-8-5-7"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-6-7-4"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-9-9-2"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="207.46289"
+ y="981.42462"
+ id="text3751-4-8-5-1-6-5-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7"
+ x="207.46289"
+ y="981.42462">10k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="189.46289"
+ y="945.42462"
+ id="text3751-4-8-5-1-6-5-2-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-8"
+ x="189.46289"
+ y="945.42462">6k8</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="216.46283"
+ y="972.42474"
+ id="text3751-4-8-5-1-6-5-2-6-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-8-8"
+ x="216.46283"
+ y="972.42474">6k8</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="171.53029"
+ y="972.33453"
+ id="text3751-4-8-5-1-6-5-2-6-89"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-8-89"
+ x="171.53029"
+ y="972.33453">6k8</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="261.46271"
+ y="927.42468"
+ id="text3751-4-8-5-1-6-5-2-6-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-8-890"
+ x="261.46271"
+ y="927.42468">6k8</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="279.46289"
+ y="990.42468"
+ id="text3751-4-8-5-1-6-5-2-6-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-8-2"
+ x="279.46289"
+ y="990.42468">6k8</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-6"
+ transform="translate(90.46289,19.062496)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-3"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-5"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-1"
+ transform="translate(162.46289,28.062496)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-6"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-3"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-5"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="261.46289"
+ y="990.42462"
+ id="text3751-4-8-5-1-6-5-2-8-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-7-4"
+ x="261.46289"
+ y="990.42462">10k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="189.46277"
+ y="981.42468"
+ id="text3751-4-8-5-1-6-5-2-88-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-2"
+ x="189.46277"
+ y="981.42468">10k</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-2-7-4"
+ width="4"
+ height="18"
+ x="-992.42468"
+ y="-243.46289"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="234.46289"
+ y="990.42468"
+ id="text3751-0-0-0-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1-6-6"
+ x="234.46289"
+ y="990.42468">100n</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="198.46289"
+ y="981.42468"
+ id="text3751-4-8-5-1-6-5-2-6-4-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-8-2-7"
+ x="198.46289"
+ y="981.42468">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7"
+ transform="matrix(-1,0,0,-1,297.46289,1835.7869)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="198.46289"
+ y="873.42468"
+ id="text3751-4-8-5-1-6-5-2-8-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-7-0"
+ x="198.46289"
+ y="873.42468">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-68-4"
+ transform="translate(189.46288,-52.937541)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-4-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-0-3"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-0-9"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1"
+ transform="translate(117.46289,-124.9375)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="288.46289"
+ y="909.42468"
+ id="text3751-4-8-5-1-6-5-2-8-8-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-7-0-0"
+ x="288.46289"
+ y="909.42468">10k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="216.46289"
+ y="837.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4"
+ x="216.46289"
+ y="837.42468">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5"
+ transform="translate(180.74413,-107.02771)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="279.74405"
+ y="855.33447"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9"
+ x="279.74405"
+ y="855.33447">10k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="243.74405"
+ y="855.28601"
+ id="text3751-0-0-0-8-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1-6-6-0"
+ x="243.74405"
+ y="855.28601">100n</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-51"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new"
+ transform="translate(126.74404,-125.02773)">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-4"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-5"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-0"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="225.74405"
+ y="837.33447"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-0"
+ x="225.74405"
+ y="837.33447">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-51-4"
+ transform="matrix(-1,0,0,-1,369.74404,1817.6967)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-4-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-5-5"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-0-7"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="270.74405"
+ y="855.33447"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-4-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-0-8"
+ x="270.74405"
+ y="855.33447">10k</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-2-7-4-9-2"
+ width="4"
+ height="18"
+ x="-794.33447"
+ y="-252.74405"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="243.74405"
+ y="792.33447"
+ id="text3751-0-0-0-8-9-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1-6-6-0-9"
+ x="243.74405"
+ y="792.33447">100n</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5"
+ transform="matrix(-1,0,0,-1,324.46288,1736.7869)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="225.46289"
+ y="774.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0"
+ x="225.46289"
+ y="774.42468">100k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8"
+ transform="translate(171.46289,-169.9375)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="270.46289"
+ y="792.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4"
+ x="270.46289"
+ y="792.42468">100k</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-7"
+ width="4"
+ height="18"
+ x="-560.46289"
+ y="-846.42474"
+ transform="scale(-1,-1)" />
+ <g
+ transform="matrix(0,1,1,0,-439.89929,774.42468)"
+ id="g6392-3-4-6"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ y="953.36218"
+ x="97"
+ height="18"
+ width="4"
+ id="rect5572-1-8-0-6"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ transform="translate(0,698.03149)"
+ id="path6368-2-5-9"
+ d="m 99,246.33069 0,9"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <path
+ id="path6368-7-6-4-1"
+ d="m 99,971.36218 0,9"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-2-7-4"
+ transform="matrix(0,1,1,0,-439.89929,765.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-7-2-3"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-5-1-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-7-4-7"
+ inkscape:connector-curvature="0" />
+ </g>
+ <rect
+ style="fill:#a67b14;fill-opacity:1;stroke:#000000;stroke-width:0.07071067000000000;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-4-8-9-6"
+ width="4"
+ height="8.9999962"
+ x="-560.46289"
+ y="864.42468"
+ transform="scale(-1,1)" />
+ <g
+ transform="matrix(-1,0,0,-1,657.46725,1772.7824)"
+ id="g6442-2-9-9"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-7-0-7"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-80-3-6"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-2-0-0"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <g
+ transform="matrix(-1,0,0,-1,630.46725,1727.7824)"
+ id="g6442-0-8-5-9"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-9-9-0-0"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-4-2-7-7"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-8-8-6-6"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <g
+ id="g6397-8-2-7-6"
+ transform="matrix(0,1,1,0,-466.89929,729.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-8"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-7"
+ inkscape:connector-curvature="0" />
+ </g>
+ <path
+ sodipodi:type="arc"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="path6447-2-7-2-6"
+ sodipodi:cx="144"
+ sodipodi:cy="237.33069"
+ sodipodi:rx="9"
+ sodipodi:ry="9"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ transform="matrix(-1,0,0,1,635.46289,618.09399)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="558.46289"
+ y="837.42468"
+ id="text3751-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-53"
+ x="558.46289"
+ y="837.42468">0.47u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="557.24463"
+ y="866.68298"
+ id="text3751-4-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-6"
+ x="557.24463"
+ y="866.68298">10p</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="522.46289"
+ y="873.42468"
+ id="text3751-4-8-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-7"
+ x="522.46289"
+ y="873.42468">10Meg</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="522.46289"
+ y="864.42468"
+ id="text3751-4-8-5-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-5"
+ x="522.46289"
+ y="864.42468">6k8</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-4"
+ transform="matrix(0,-1,-1,0,1448.8251,954.42468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-01"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-2"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-8"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-5"
+ transform="matrix(0,1,1,0,-457.89929,729.42468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-3"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-5"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-1"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <g
+ id="g6397-8-2-7-0-1"
+ transform="matrix(0,1,1,0,-484.89929,783.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-0"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-7"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.46289"
+ y="828.42468"
+ id="text3751-4-8-5-1-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-8"
+ x="495.46289"
+ y="828.42468">5M1</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="477.46289"
+ y="882.42468"
+ id="text3751-4-8-5-1-6-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-6"
+ x="477.46289"
+ y="882.42468">10k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="477.46283"
+ y="873.4245"
+ id="text3751-4-8-5-1-6-7-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-3-1"
+ x="477.46283"
+ y="873.4245">10k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="525.40637"
+ y="832.20203"
+ id="text3751-1-9-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="525.40637"
+ y="832.20203"
+ id="tspan4996-9">3.3u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="461.46289"
+ y="860.42468"
+ id="text3751-1-9-3-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-6"
+ x="461.46289"
+ y="860.42468">10u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="491.46289"
+ y="859.42468"
+ id="text3751-1-9-3-0-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9-2"
+ x="491.46289"
+ y="859.42468">100u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="486.46289"
+ y="837.42468"
+ id="text3751-4-8-5-1-6-5-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-3"
+ x="486.46289"
+ y="837.42468">100</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-9"
+ transform="matrix(-1,0,0,1,670.96289,-111.4375)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-0"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-1"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-5"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="545.17151"
+ y="814.43298"
+ id="text3751-1-9-3-1-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-6"
+ x="545.17151"
+ y="814.43298">1u</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8"
+ width="4"
+ height="18"
+ x="-560.46295"
+ y="918.42468"
+ transform="scale(-1,1)" />
+ <g
+ transform="matrix(-1,0,0,-1,648.46289,1871.7869)"
+ id="g6392-3-4"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ y="953.36218"
+ x="97"
+ height="18"
+ width="4"
+ id="rect5572-1-8-0"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ transform="translate(0,698.03149)"
+ id="path6368-2-5"
+ d="m 99,246.33069 0,9"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <path
+ id="path6368-7-6-4"
+ d="m 99,971.36218 0,9"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-2-7"
+ transform="matrix(-1,0,0,-1,639.46289,1871.7869)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-7-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-5-1"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-7-4"
+ inkscape:connector-curvature="0" />
+ </g>
+ <rect
+ style="fill:#a67b14;fill-opacity:1;stroke:#000000;stroke-width:0.07071067000000000;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-4-8-9"
+ width="4"
+ height="8.9999962"
+ x="-560.46289"
+ y="-909.42468"
+ transform="scale(-1,-1)" />
+ <g
+ transform="matrix(-1,0,0,-1,657.46725,1862.7824)"
+ id="g6442-2-9"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-7-0"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-80-3"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-2-0"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <g
+ transform="matrix(-1,0,0,-1,630.46725,1835.7824)"
+ id="g6442-0-8-5"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-9-9-0"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-4-2-7"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-8-8-6"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <g
+ id="g6397-8-2-7"
+ transform="matrix(0,1,-1,0,1457.8251,792.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6"
+ inkscape:connector-curvature="0" />
+ </g>
+ <path
+ sodipodi:type="arc"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="path6447-2-7-2"
+ sodipodi:cx="144"
+ sodipodi:cy="237.33069"
+ sodipodi:rx="9"
+ sodipodi:ry="9"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ transform="matrix(-1,0,0,-1,625.46289,1155.7554)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="558.46283"
+ y="927.42468"
+ id="text3751"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753"
+ x="558.46283"
+ y="927.42468">0.47u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="558.46289"
+ y="904.42468"
+ id="text3751-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5"
+ x="558.46289"
+ y="904.42468">10p</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="549.46283"
+ y="909.42456"
+ id="text3751-4-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5"
+ x="549.46283"
+ y="909.42456">10Meg</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="540.46283"
+ y="909.42438"
+ id="text3751-4-8-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6"
+ x="540.46283"
+ y="909.42438">6k8</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674"
+ transform="matrix(0,1,-1,0,1448.8251,792.42468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.46277"
+ y="891.42432"
+ id="text3751-4-8-5-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9"
+ x="495.46277"
+ y="891.42432">5M1</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="525.16028"
+ y="915.81342"
+ id="text3751-1-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="525.16028"
+ y="915.81342"
+ id="tspan4996">3.3u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="481.46289"
+ y="922.42419"
+ id="text3751-1-9-3-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9"
+ x="481.46289"
+ y="922.42419">100u</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4"
+ transform="matrix(0,1,-1,0,1448.8251,801.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="486.46286"
+ y="900.42468"
+ id="text3751-4-8-5-1-6-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9"
+ x="486.46286"
+ y="900.42468">100</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7"
+ transform="matrix(0,1,1,0,-376.89926,828.42468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="544.46271"
+ y="952.42468"
+ id="text3751-1-9-3-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3"
+ x="544.46271"
+ y="952.42468">1u</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-1-4"
+ transform="translate(171.46289,28.062496)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-6-1"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-3-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-5-5"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="270.46289"
+ y="990.42462"
+ id="text3751-4-8-5-1-6-5-2-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-7"
+ x="270.46289"
+ y="990.42462">10k</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-2-7-7"
+ width="4"
+ height="18"
+ x="-938.42468"
+ y="-279.46289"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="270.46289"
+ y="936.42468"
+ id="text3751-0-0-0-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1-6-9"
+ x="270.46289"
+ y="936.42468">56n</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-0-0-6-1"
+ transform="matrix(0,1,-1,0,1241.8251,855.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-8-7-0-1"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="M 98.999998,246.33071 99,255.33069"
+ id="path6401-4-5-3-3-6-0-4-6-1-8-9"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-9-4-5-0"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="279.46265"
+ y="954.42468"
+ id="text3751-4-8-5-1-6-5-2-6-2-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-8-890-9"
+ x="279.46265"
+ y="954.42468">6k8</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-0-9-9"
+ transform="matrix(0,1,-1,0,1502.8251,675.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-4-2-8"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-8-7-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-0-9-5"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="540.46289"
+ y="774.42432"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-4-5-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-2-4-2"
+ x="540.46289"
+ y="774.42432">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-0-9-9-8"
+ transform="matrix(0,-1,1,0,-421.89929,882.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-4-2-8-6"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-8-7-6-0"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-0-9-5-2"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="540.46283"
+ y="783.42426"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-4-5-0-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-2-4-2-8"
+ x="540.46283"
+ y="783.42426">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-9-2-2-8-0-7-0-4"
+ transform="matrix(0,-1,1,0,-529.83185,873.33445)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-61-5-2-8-3-2-7-9"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-0-4-6-6-6-6-1-50"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-4-4-4-0-1-0-7-4"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-9-2-2-8-0-7-0-4-8"
+ transform="matrix(0,-1,1,0,-538.83185,837.33445)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-61-5-2-8-3-2-7-9-7"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-0-4-6-6-6-6-1-50-1"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-4-4-4-0-1-0-7-4-7"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ transform="matrix(-1,0,0,-1,558.53469,1673.6922)"
+ id="g6442-0-8-5-9-2"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-9-9-0-0-2"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-4-2-7-7-4"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-8-8-6-6-7"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-9-2-2-8-0-7-0-4-3"
+ transform="matrix(0,-1,1,0,-529.83185,864.33445)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-61-5-2-8-3-2-7-9-9"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-0-4-6-6-6-6-1-50-19"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-4-4-4-0-1-0-7-4-6"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="432.53033"
+ y="774.33447"
+ id="text3751-4-8-5-1-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-0"
+ x="432.53033"
+ y="774.33447">5M1</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="432.53033"
+ y="765.33447"
+ id="text3751-4-8-5-1-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-6"
+ x="432.53033"
+ y="765.33447">5M1</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="423.53033"
+ y="738.33447"
+ id="text3751-4-8-5-1-5-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-6-4"
+ x="423.53033"
+ y="738.33447">4k7</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-2-7-4-9-2-3"
+ width="4"
+ height="18"
+ x="-893.33447"
+ y="-144.53033"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="135.53033"
+ y="891.33447"
+ id="text3751-0-0-0-8-9-2-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1-6-6-0-9-1"
+ x="135.53033"
+ y="891.33447">100n</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-9"
+ transform="translate(81.46289,-70.937504)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-3"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-2"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-4"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="180.46289"
+ y="891.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-7"
+ x="180.46289"
+ y="891.42468">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-1"
+ transform="translate(72.462889,-106.93751)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-20"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-2"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="171.46289"
+ y="855.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-75"
+ x="171.46289"
+ y="855.42468">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-4"
+ transform="translate(0.46289,-88.937504)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-1"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-1"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="99.462883"
+ y="873.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-11"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-70"
+ x="99.462883"
+ y="873.42468">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-8"
+ transform="translate(9.46289,-88.937504)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-5"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-1"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-6"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="108.46288"
+ y="873.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-2"
+ x="108.46288"
+ y="873.42468">10k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-1-2"
+ transform="matrix(-1,0,0,-1,261.46289,1853.7869)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-2-7"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-20-0"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-2-3"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="162.46289"
+ y="891.42419"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-1-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-75-6"
+ x="162.46289"
+ y="891.42419">10k</tspan></text>
+ <g
+ transform="matrix(-1,0,0,-1,630.46725,1862.7824)"
+ id="g6442-0-8-5-5"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-9-9-0-3"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-4-2-7-9"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-8-8-6-1"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <g
+ id="g6397-8-2-7-9-1-0"
+ transform="matrix(0,-1,-1,0,1475.8251,1053.4247)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-5-6-7"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-53-4-8"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-86-9-6"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-1-6"
+ transform="matrix(0,-1,-1,0,1475.8251,1062.4247)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-0-9"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-0-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-7-1"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="513.46289"
+ y="963.42462"
+ id="text3751-4-8-5-1-6-1-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-6-5"
+ x="513.46289"
+ y="963.42462">220k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="513.46289"
+ y="954.42456"
+ id="text3751-4-8-5-1-6-7-4-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-3-1-6"
+ x="513.46289"
+ y="954.42456">220k</tspan></text>
+ <g
+ id="g6397-8-2-7-9-1-0-3"
+ transform="matrix(0,-1,-1,0,1457.8251,1044.4247)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-5-6-7-5"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-53-4-8-0"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-86-9-6-4"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.46286"
+ y="945.42468"
+ id="text3751-4-8-5-1-6-7-4-9-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-3-1-6-9"
+ x="495.46286"
+ y="945.42468">10k</tspan></text>
+ <g
+ transform="matrix(-1,0,0,-1,630.46725,1772.7824)"
+ id="g6442-0-8-5-9-26"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-9-9-0-0-4"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-4-2-7-7-9"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-8-8-6-6-0"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <g
+ id="g6397-8-2-7-9-1-0-5"
+ transform="matrix(0,-1,-1,0,1457.8251,909.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-5-6-7-1"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-53-4-8-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-86-9-6-0"
+ inkscape:connector-curvature="0" />
+ </g>
+ <g
+ id="g6397-8-2-7-0-1-6-4"
+ transform="matrix(0,-1,-1,0,1457.8251,918.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-0-9-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-0-6-4"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-7-1-8"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.46268"
+ y="819.42462"
+ id="text3751-4-8-5-1-6-1-8-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-6-5-2"
+ x="495.46268"
+ y="819.42462">220k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.46268"
+ y="810.42456"
+ id="text3751-4-8-5-1-6-7-4-9-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-3-1-6-1"
+ x="495.46268"
+ y="810.42456">220k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-1-6-4-2"
+ transform="matrix(0,-1,-1,0,1457.8251,891.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-0-9-0-5"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-0-6-4-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-7-1-8-7"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.46274"
+ y="792.42462"
+ id="text3751-4-8-5-1-6-1-8-1-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-6-5-2-4"
+ x="495.46274"
+ y="792.42462">10k</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-1-6-3-4"
+ width="4"
+ height="18"
+ x="-758.33447"
+ y="-441.53033"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="432.53033"
+ y="756.33447"
+ id="text3751-0-1-8-8-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-8-3-9-6"
+ x="432.53033"
+ y="756.33447">0.47u</tspan></text>
+ <g
+ transform="translate(342.53469,-170.02331)"
+ id="g6442-0-8-5-9-2-8"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;display:inline;enable-background:new">
+ <path
+ id="path6431-9-9-0-0-2-6"
+ d="m 107.99564,926.35776 c 0,0 0,7.49361 9.00436,7.49361 9.00436,0 9.00436,-7.49361 9.00436,-7.49361 l -18.00872,0 z"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.09127032000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ inkscape:connector-curvature="0" />
+ <text
+ sodipodi:linespacing="125%"
+ id="text6433-4-2-7-7-4-1"
+ y="930.36218"
+ x="112"
+ style="font-size:4px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ xml:space="preserve"><tspan
+ y="930.36218"
+ x="112"
+ id="tspan6435-8-8-6-6-7-7"
+ sodipodi:role="line">G S D</tspan></text>
+ </g>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-1-6-3-4-8"
+ width="4"
+ height="18"
+ x="-461.53033"
+ y="729.33447"
+ transform="scale(-1,1)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="459.53033"
+ y="738.33447"
+ id="text3751-0-1-8-8-1-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-8-3-9-6-9"
+ x="459.53033"
+ y="738.33447">0.47u</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-9-2-2-8-0-7-0-4-8-6"
+ transform="matrix(0,-1,1,0,-484.83185,864.33445)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-61-5-2-8-3-2-7-9-7-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-0-4-6-6-6-6-1-50-1-5"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-4-4-4-0-1-0-7-4-7-7"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="477.53033"
+ y="765.33447"
+ id="text3751-4-8-5-1-5-0-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-6-4-7"
+ x="477.53033"
+ y="765.33447">4k7</tspan></text>
+ <rect
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="rect5572-2-8-8-2-7-4-9-2-3-0"
+ width="4"
+ height="18"
+ x="-767.33447"
+ y="-126.5303"
+ transform="matrix(0,-1,-1,0,0,0)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="117.5303"
+ y="765.33447"
+ id="text3751-0-0-0-8-9-2-7-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-7-1-6-6-0-9-1-1"
+ x="117.5303"
+ y="765.33447">100n</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-96-5"
+ transform="matrix(0,1,-1,0,1061.8925,648.33445)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-4-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-0-4"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="99.530281"
+ y="747.33447"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-8-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-1-7"
+ x="99.530281"
+ y="747.33447">100</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-96-5-0"
+ transform="matrix(0,1,-1,0,1106.8251,648.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-4-2-4"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-8"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-0-4-9"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="144.46283"
+ y="747.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-8-0-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-1-7-7"
+ x="144.46283"
+ y="747.42468">100</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-96-5-07"
+ transform="matrix(0,-1,1,0,-808.89929,900.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-4-2-9"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-9"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-0-4-96"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="153.46289"
+ y="801.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-8-0-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-1-7-0"
+ x="153.46289"
+ y="801.42468">100k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-96-5-5"
+ transform="matrix(0,-1,1,0,-853.8993,918.42466)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-4-2-31"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-05"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-0-4-0"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="108.46289"
+ y="819.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-8-0-03"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-1-7-3"
+ x="108.46289"
+ y="819.42468">100k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-96-5-09"
+ transform="matrix(-1,0,0,-1,234.53031,1736.6967)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-4-2-0"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-0-4-6"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="135.53032"
+ y="774.33447"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-8-0-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-1-7-2"
+ x="135.53032"
+ y="774.33447">100k</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-96-5-7"
+ transform="matrix(-1,0,0,-1,171.4629,1772.7873)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-4-2-48"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-5"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-0-4-59"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="72.462891"
+ y="810.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-8-0-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-1-7-76"
+ x="72.462891"
+ y="810.42468">2k2</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="path6447-2-7-2-6-8"
+ sodipodi:cx="144"
+ sodipodi:cy="237.33069"
+ sodipodi:rx="9"
+ sodipodi:ry="9"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ transform="matrix(-1,0,0,1,306.46289,541.09399)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="158.46289"
+ y="778.42468"
+ id="text3751-1-9-3-0-2-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9-2-2"
+ x="158.46289"
+ y="778.42468">22u</tspan><tspan
+ sodipodi:role="line"
+ x="158.46289"
+ y="780.92468"
+ id="tspan10240">non-polar</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ id="path6447-2-7-2-6-8-9"
+ sodipodi:cx="144"
+ sodipodi:cy="237.33069"
+ sodipodi:rx="9"
+ sodipodi:ry="9"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ transform="matrix(-1,0,0,1,221.46289,537.09399)" />
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="72.462883"
+ y="774.42468"
+ id="text3751-1-9-3-0-2-5-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9-2-2-7"
+ x="72.462883"
+ y="774.42468">22u</tspan><tspan
+ sodipodi:role="line"
+ x="72.462883"
+ y="776.92468"
+ id="tspan10240-2">non-polar</tspan></text>
+ <g
+ id="g10327"
+ transform="matrix(0,1,-1,0,836.82507,774.42468)">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-05-8"
+ d="m 63,962.36218 9,0"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ transform="translate(-54,-189)" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-05-8-2"
+ d="m 81,962.36218 9,0"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ transform="translate(-54,-189)" />
+ <rect
+ transform="matrix(0,-1,-1,0,0,0)"
+ y="-27"
+ x="-775.36218"
+ height="9"
+ width="4.0000043"
+ id="rect5572-2-8-8-2-7-4-9-2-3-0-9"
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <rect
+ y="771.33185"
+ x="25.228346"
+ height="4.0925198"
+ width="1.7716535"
+ id="rect10287"
+ style="fill:#000000;fill-opacity:1;stroke:none" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g10327-2"
+ transform="matrix(0,-1,1,0,-628.89929,792.42468)">
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-05-8-3"
+ d="m 63,962.36218 9,0"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ transform="translate(-54,-189)" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-8-7-05-8-2-1"
+ d="m 81,962.36218 9,0"
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ transform="translate(-54,-189)" />
+ <rect
+ transform="matrix(0,-1,-1,0,0,0)"
+ y="-27"
+ x="-775.36218"
+ height="9"
+ width="4.0000043"
+ id="rect5572-2-8-8-2-7-4-9-2-3-0-9-2"
+ style="fill:#ff2f01;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <rect
+ y="771.33185"
+ x="25.228346"
+ height="4.0925198"
+ width="1.7716535"
+ id="rect10287-7"
+ style="fill:#000000;fill-opacity:1;stroke:none" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5431"
+ transform="translate(-17.486802,707.36294)">
+ <g
+ id="g5457"
+ transform="translate(495,-306)">
+ <g
+ id="g5532">
+ <rect
+ y="320.08765"
+ x="-8.8140697"
+ height="33.767715"
+ width="17.716536"
+ id="rect9830-8"
+ style="fill:#0000e4;fill-opacity:1;stroke:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,396.16218)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,387.03817)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-2"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,378.03817)" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m -8.7957677,346.03 c 17.592102,0 17.592102,0 17.592102,0"
+ inkscape:path-effect="#path-effect5407-5"
+ id="path5405"
+ d="m -8.7957677,346.03 17.592102,0"
+ style="fill:none;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 0.01713155,345.97151 c 0,16.23231 0,-4.05807 0,-4.05807"
+ inkscape:path-effect="#path-effect5407-0-9"
+ id="path5405-0"
+ d="m 0.01713155,345.97151 0,-4.05807"
+ style="fill:none;stroke:#000000;stroke-width:0.09999999000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#d45500;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-9"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.86614173,0,0,0.86614173,-326.64871,458.11816)" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5431-3"
+ transform="matrix(0,1,-1,0,265.50184,378.31732)">
+ <g
+ id="g5457-9"
+ transform="translate(495,-306)">
+ <g
+ id="g5532-5">
+ <rect
+ y="320.08765"
+ x="-8.8140697"
+ height="33.767715"
+ width="17.716536"
+ id="rect9830-8-8"
+ style="fill:#0000e4;fill-opacity:1;stroke:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-5"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,396.16218)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-8"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,387.03817)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-2-7"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,378.03817)" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m -8.7957677,346.03 c 17.592102,0 17.592102,0 17.592102,0"
+ inkscape:path-effect="#path-effect5407-5-7"
+ id="path5405-2"
+ d="m -8.7957677,346.03 17.592102,0"
+ style="fill:none;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 0.01713155,345.97151 c 0,16.23231 0,-4.05807 0,-4.05807"
+ inkscape:path-effect="#path-effect5407-0-9-7"
+ id="path5405-0-8"
+ d="m 0.01713155,345.97151 0,-4.05807"
+ style="fill:none;stroke:#000000;stroke-width:0.09999999000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#d45500;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-9-9"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.86614173,0,0,0.86614173,-326.64871,458.11816)" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5431-1"
+ transform="matrix(0,-1,1,0,230.49138,1395.4418)">
+ <g
+ id="g5457-8"
+ transform="translate(495,-306)">
+ <g
+ id="g5532-9">
+ <rect
+ y="320.08765"
+ x="-8.8140697"
+ height="33.767715"
+ width="17.716536"
+ id="rect9830-8-4"
+ style="fill:#0000e4;fill-opacity:1;stroke:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-7"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,396.16218)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-87"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,387.03817)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-2-4"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,378.03817)" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m -8.7957677,346.03 c 17.592102,0 17.592102,0 17.592102,0"
+ inkscape:path-effect="#path-effect5407-5-9"
+ id="path5405-9"
+ d="m -8.7957677,346.03 17.592102,0"
+ style="fill:none;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 0.01713155,345.97151 c 0,16.23231 0,-4.05807 0,-4.05807"
+ inkscape:path-effect="#path-effect5407-0-9-1"
+ id="path5405-0-9"
+ d="m 0.01713155,345.97151 0,-4.05807"
+ style="fill:none;stroke:#000000;stroke-width:0.09999999000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#d45500;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-9-0"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.86614173,0,0,0.86614173,-326.64871,458.11816)" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5943-8-0"
+ transform="matrix(-1,0,0,-1,88.941234,1844.0723)">
+ <g
+ id="g6039"
+ transform="translate(-208.77165,15.785438)">
+ <rect
+ style="fill:#414141;fill-opacity:1;stroke:none"
+ id="rect5933-7-7"
+ width="23.385826"
+ height="33.767715"
+ x="47.057083"
+ y="843.47839" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="846.86224"
+ y="-63.249996"
+ id="text5935-7-9"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,1,-1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan5937-3-2"
+ x="846.86224"
+ y="-63.249996" /><tspan
+ sodipodi:role="line"
+ id="tspan5939-5-7"
+ x="846.86224"
+ y="-55.749996">NE5532</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ id="path5941-3-6"
+ sodipodi:cx="58.5"
+ sodipodi:cy="151.83069"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 63,151.83069 c 0,2.48528 -2.014719,4.5 -4.5,4.5 -2.485281,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.014719,-4.5 4.5,-4.5 2.485281,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.22222222,0,0,0.22222222,36.605508,812.12207)" />
+ <g
+ id="g5883">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875"
+ inkscape:path-effect="#path-effect5877"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879"
+ inkscape:path-effect="#path-effect5881"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-4"
+ style="display:inline;enable-background:new"
+ transform="translate(0,9.0000015)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-9"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-0"
+ inkscape:path-effect="#path-effect5877-2"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-1"
+ inkscape:path-effect="#path-effect5881-3"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-2"
+ style="display:inline;enable-background:new"
+ transform="translate(0,18.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-8"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-3"
+ inkscape:path-effect="#path-effect5877-9"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-7"
+ inkscape:path-effect="#path-effect5881-4"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-9"
+ style="display:inline;enable-background:new"
+ transform="translate(0,27.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-4"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-37"
+ inkscape:path-effect="#path-effect5877-4"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-0"
+ inkscape:path-effect="#path-effect5881-2"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,1.1523438e-5)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1"
+ inkscape:path-effect="#path-effect5877-5"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9"
+ inkscape:path-effect="#path-effect5881-0"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-4"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,9.0000115)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-8"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-5"
+ inkscape:path-effect="#path-effect5877-5-9"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-2"
+ inkscape:path-effect="#path-effect5881-0-9"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-5"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,18.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-2"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-51"
+ inkscape:path-effect="#path-effect5877-5-5"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-23"
+ inkscape:path-effect="#path-effect5881-0-4"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-0"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,27.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-6"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-8"
+ inkscape:path-effect="#path-effect5877-5-7"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-7"
+ inkscape:path-effect="#path-effect5881-0-6"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5943-8-0-7"
+ transform="matrix(-1,0,0,-1,-9.9913259,1744.9821)">
+ <g
+ id="g6039-4"
+ transform="translate(-208.77165,15.785438)">
+ <rect
+ style="fill:#414141;fill-opacity:1;stroke:none"
+ id="rect5933-7-7-7"
+ width="23.385826"
+ height="33.767715"
+ x="47.057083"
+ y="843.47839" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="846.86224"
+ y="-63.249996"
+ id="text5935-7-9-8"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,1,-1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan5937-3-2-9"
+ x="846.86224"
+ y="-63.249996" /><tspan
+ sodipodi:role="line"
+ id="tspan5939-5-7-5"
+ x="846.86224"
+ y="-55.749996">NE5532</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ id="path5941-3-6-9"
+ sodipodi:cx="58.5"
+ sodipodi:cy="151.83069"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 63,151.83069 c 0,2.48528 -2.014719,4.5 -4.5,4.5 -2.485281,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.014719,-4.5 4.5,-4.5 2.485281,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.22222222,0,0,0.22222222,36.605508,812.12207)" />
+ <g
+ id="g5883-7">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-7"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-5"
+ inkscape:path-effect="#path-effect5877-90"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-4"
+ inkscape:path-effect="#path-effect5881-6"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-4-1"
+ style="display:inline;enable-background:new"
+ transform="translate(0,9.0000015)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-9-7"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-0-3"
+ inkscape:path-effect="#path-effect5877-2-0"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-1-2"
+ inkscape:path-effect="#path-effect5881-3-0"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-2-5"
+ style="display:inline;enable-background:new"
+ transform="translate(0,18.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-8-6"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-3-2"
+ inkscape:path-effect="#path-effect5877-9-2"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-7-9"
+ inkscape:path-effect="#path-effect5881-4-4"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-9-2"
+ style="display:inline;enable-background:new"
+ transform="translate(0,27.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-4-0"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-37-1"
+ inkscape:path-effect="#path-effect5877-4-6"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-0-1"
+ inkscape:path-effect="#path-effect5881-2-0"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-6"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,1.1523438e-5)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-21"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-4"
+ inkscape:path-effect="#path-effect5877-5-96"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-72"
+ inkscape:path-effect="#path-effect5881-0-1"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-4-4"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,9.0000115)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-8-4"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-5-9"
+ inkscape:path-effect="#path-effect5877-5-9-9"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-2-8"
+ inkscape:path-effect="#path-effect5881-0-9-6"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-5-1"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,18.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-2-8"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-51-9"
+ inkscape:path-effect="#path-effect5877-5-5-5"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-23-8"
+ inkscape:path-effect="#path-effect5881-0-4-9"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-0-9"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,27.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-6-6"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-8-5"
+ inkscape:path-effect="#path-effect5877-5-7-0"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-7-4"
+ inkscape:path-effect="#path-effect5881-0-6-7"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5943-8-0-0"
+ transform="matrix(-1,0,0,-1,97.941234,1709.0723)">
+ <g
+ id="g6039-2"
+ transform="translate(-208.77165,15.785438)">
+ <rect
+ style="fill:#414141;fill-opacity:1;stroke:none"
+ id="rect5933-7-7-9"
+ width="23.385826"
+ height="33.767715"
+ x="47.057083"
+ y="843.47839" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="846.86224"
+ y="-63.249996"
+ id="text5935-7-9-2"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,1,-1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan5937-3-2-7"
+ x="846.86224"
+ y="-63.249996" /><tspan
+ sodipodi:role="line"
+ id="tspan5939-5-7-0"
+ x="846.86224"
+ y="-55.749996">NE5532</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ id="path5941-3-6-4"
+ sodipodi:cx="58.5"
+ sodipodi:cy="151.83069"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 63,151.83069 c 0,2.48528 -2.014719,4.5 -4.5,4.5 -2.485281,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.014719,-4.5 4.5,-4.5 2.485281,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.22222222,0,0,0.22222222,36.605508,812.12207)" />
+ <g
+ id="g5883-97">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-3"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-9"
+ inkscape:path-effect="#path-effect5877-8"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-90"
+ inkscape:path-effect="#path-effect5881-7"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-4-0"
+ style="display:inline;enable-background:new"
+ transform="translate(0,9.0000015)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-9-77"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-0-4"
+ inkscape:path-effect="#path-effect5877-2-1"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-1-22"
+ inkscape:path-effect="#path-effect5881-3-3"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-2-54"
+ style="display:inline;enable-background:new"
+ transform="translate(0,18.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-8-1"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-3-8"
+ inkscape:path-effect="#path-effect5877-9-21"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-7-7"
+ inkscape:path-effect="#path-effect5881-4-2"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-9-25"
+ style="display:inline;enable-background:new"
+ transform="translate(0,27.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-4-1"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-37-16"
+ inkscape:path-effect="#path-effect5877-4-7"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-0-0"
+ inkscape:path-effect="#path-effect5881-2-1"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-2"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,1.1523438e-5)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-63"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-3"
+ inkscape:path-effect="#path-effect5877-5-0"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-0"
+ inkscape:path-effect="#path-effect5881-0-0"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-4-0"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,9.0000115)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-8-3"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-5-6"
+ inkscape:path-effect="#path-effect5877-5-9-7"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-2-2"
+ inkscape:path-effect="#path-effect5881-0-9-4"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-5-2"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,18.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-2-0"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-51-1"
+ inkscape:path-effect="#path-effect5877-5-5-7"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-23-1"
+ inkscape:path-effect="#path-effect5881-0-4-0"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-0-0"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,27.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-6-4"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-8-1"
+ inkscape:path-effect="#path-effect5877-5-7-7"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-7-7"
+ inkscape:path-effect="#path-effect5881-0-6-8"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5943-8-0-1"
+ transform="matrix(-1,0,0,-1,98.008674,1645.9821)">
+ <g
+ id="g6039-6"
+ transform="translate(-208.77165,15.785438)">
+ <rect
+ style="fill:#414141;fill-opacity:1;stroke:none"
+ id="rect5933-7-7-99"
+ width="23.385826"
+ height="33.767715"
+ x="47.057083"
+ y="843.47839" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="846.86224"
+ y="-63.249996"
+ id="text5935-7-9-0"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,1,-1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan5937-3-2-0"
+ x="846.86224"
+ y="-63.249996" /><tspan
+ sodipodi:role="line"
+ id="tspan5939-5-7-8"
+ x="846.86224"
+ y="-55.749996">NE5532</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ id="path5941-3-6-0"
+ sodipodi:cx="58.5"
+ sodipodi:cy="151.83069"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 63,151.83069 c 0,2.48528 -2.014719,4.5 -4.5,4.5 -2.485281,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.014719,-4.5 4.5,-4.5 2.485281,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.22222222,0,0,0.22222222,36.605508,812.12207)" />
+ <g
+ id="g5883-1">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-48"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-2"
+ inkscape:path-effect="#path-effect5877-0"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-72"
+ inkscape:path-effect="#path-effect5881-1"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-4-6"
+ style="display:inline;enable-background:new"
+ transform="translate(0,9.0000015)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-9-4"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-0-8"
+ inkscape:path-effect="#path-effect5877-2-4"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-1-1"
+ inkscape:path-effect="#path-effect5881-3-2"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-2-59"
+ style="display:inline;enable-background:new"
+ transform="translate(0,18.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-8-5"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-3-6"
+ inkscape:path-effect="#path-effect5877-9-7"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-7-2"
+ inkscape:path-effect="#path-effect5881-4-45"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-9-9"
+ style="display:inline;enable-background:new"
+ transform="translate(0,27.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-4-04"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-37-8"
+ inkscape:path-effect="#path-effect5877-4-9"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-0-8"
+ inkscape:path-effect="#path-effect5881-2-12"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-9"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,1.1523438e-5)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-3"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-82"
+ inkscape:path-effect="#path-effect5877-5-8"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-00"
+ inkscape:path-effect="#path-effect5881-0-12"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-4-1"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,9.0000115)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-8-2"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-5-2"
+ inkscape:path-effect="#path-effect5877-5-9-4"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-2-1"
+ inkscape:path-effect="#path-effect5881-0-9-1"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-5-26"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,18.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-2-7"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-51-10"
+ inkscape:path-effect="#path-effect5877-5-5-76"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-23-5"
+ inkscape:path-effect="#path-effect5881-0-4-5"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-0-5"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,27.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-6-69"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-8-4"
+ inkscape:path-effect="#path-effect5877-5-7-5"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-7-77"
+ inkscape:path-effect="#path-effect5881-0-6-0"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5943-8-0-7-6"
+ transform="translate(263.05198,-88.313208)">
+ <g
+ id="g6039-4-2"
+ transform="translate(-208.77165,15.785438)">
+ <rect
+ style="fill:#414141;fill-opacity:1;stroke:none"
+ id="rect5933-7-7-7-1"
+ width="23.385826"
+ height="33.767715"
+ x="47.057083"
+ y="843.47839" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="846.86224"
+ y="-63.249996"
+ id="text5935-7-9-8-1"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,1,-1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan5937-3-2-9-0"
+ x="846.86224"
+ y="-63.249996" /><tspan
+ sodipodi:role="line"
+ id="tspan5939-5-7-5-2"
+ x="846.86224"
+ y="-55.749996">NE5532</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ id="path5941-3-6-9-8"
+ sodipodi:cx="58.5"
+ sodipodi:cy="151.83069"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 63,151.83069 c 0,2.48528 -2.014719,4.5 -4.5,4.5 -2.485281,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.014719,-4.5 4.5,-4.5 2.485281,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.22222222,0,0,0.22222222,36.605508,812.12207)" />
+ <g
+ id="g5883-7-4">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-7-8"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-5-6"
+ inkscape:path-effect="#path-effect5877-90-4"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-4-8"
+ inkscape:path-effect="#path-effect5881-6-7"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-4-1-8"
+ style="display:inline;enable-background:new"
+ transform="translate(0,9.0000015)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-9-7-3"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-0-3-3"
+ inkscape:path-effect="#path-effect5877-2-0-1"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-1-2-4"
+ inkscape:path-effect="#path-effect5881-3-0-8"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-2-5-0"
+ style="display:inline;enable-background:new"
+ transform="translate(0,18.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-8-6-0"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-3-2-6"
+ inkscape:path-effect="#path-effect5877-9-2-4"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-7-9-8"
+ inkscape:path-effect="#path-effect5881-4-4-5"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-9-2-6"
+ style="display:inline;enable-background:new"
+ transform="translate(0,27.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-4-0-3"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-37-1-4"
+ inkscape:path-effect="#path-effect5877-4-6-5"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-0-1-5"
+ inkscape:path-effect="#path-effect5881-2-0-8"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-6-4"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,1.1523438e-5)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-21-9"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-4-3"
+ inkscape:path-effect="#path-effect5877-5-96-1"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-72-2"
+ inkscape:path-effect="#path-effect5881-0-1-3"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-4-4-2"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,9.0000115)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-8-4-4"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-5-9-0"
+ inkscape:path-effect="#path-effect5877-5-9-9-8"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-2-8-7"
+ inkscape:path-effect="#path-effect5881-0-9-6-8"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-5-1-2"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,18.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-2-8-2"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-51-9-8"
+ inkscape:path-effect="#path-effect5877-5-5-5-2"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-23-8-5"
+ inkscape:path-effect="#path-effect5881-0-4-9-9"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-0-9-2"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,27.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-6-6-3"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-8-5-3"
+ inkscape:path-effect="#path-effect5877-5-7-0-5"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-7-4-7"
+ inkscape:path-effect="#path-effect5881-0-6-7-3"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5943-8-0-7-6-6-4"
+ transform="matrix(0,1,-1,0,1178.1106,1171.9464)">
+ <g
+ id="g6039-4-2-1-2"
+ transform="matrix(0,-1,1,0,-1010.3839,934.89768)">
+ <rect
+ style="fill:#414141;fill-opacity:1;stroke:none"
+ id="rect5933-7-7-7-1-3-2"
+ width="23.385826"
+ height="33.767715"
+ x="47.057083"
+ y="843.47839" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ffffff;fill-opacity:1;stroke:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="846.86224"
+ y="-63.249996"
+ id="text5935-7-9-8-1-4-4"
+ sodipodi:linespacing="125%"
+ transform="matrix(0,1,-1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan5937-3-2-9-0-3-5"
+ x="846.86224"
+ y="-63.249996" /><tspan
+ sodipodi:role="line"
+ id="tspan5939-5-7-5-2-4-1"
+ x="846.86224"
+ y="-55.749996">TLE2426</tspan></text>
+ <path
+ sodipodi:type="arc"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ id="path5941-3-6-9-8-6-7"
+ sodipodi:cx="58.5"
+ sodipodi:cy="151.83069"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 63,151.83069 c 0,2.48528 -2.014719,4.5 -4.5,4.5 -2.485281,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.014719,-4.5 4.5,-4.5 2.485281,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.22222222,0,0,0.22222222,36.605508,812.12207)" />
+ <g
+ id="g5883-7-4-0-5">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-7-8-1-7"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-5-6-5-1"
+ inkscape:path-effect="#path-effect5877-90-4-0-5"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-4-8-0-6"
+ inkscape:path-effect="#path-effect5881-6-7-5-2"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-4-1-8-1-9"
+ style="display:inline;enable-background:new"
+ transform="translate(0,9.0000015)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-9-7-3-8-8"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-0-3-3-2-1"
+ inkscape:path-effect="#path-effect5877-2-0-1-4-4"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-1-2-4-5-3"
+ inkscape:path-effect="#path-effect5881-3-0-8-6-4"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-2-5-0-8-3"
+ style="display:inline;enable-background:new"
+ transform="translate(0,18.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-8-6-0-9-3"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-3-2-6-9-7"
+ inkscape:path-effect="#path-effect5877-9-2-4-1-3"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-7-9-8-7-9"
+ inkscape:path-effect="#path-effect5881-4-4-5-8-8"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-9-2-6-0-8"
+ style="display:inline;enable-background:new"
+ transform="translate(0,27.000012)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-4-0-3-0-6"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-37-1-4-8-7"
+ inkscape:path-effect="#path-effect5877-4-6-5-1-6"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-0-1-5-0-0"
+ inkscape:path-effect="#path-effect5881-2-0-8-8-0"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-6-4-1-4"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,1.1523438e-5)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-21-9-9-8"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-4-3-1-4"
+ inkscape:path-effect="#path-effect5877-5-96-1-1-8"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-72-2-9-8"
+ inkscape:path-effect="#path-effect5881-0-1-3-9-9"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-4-4-2-1-1"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,9.0000115)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-8-4-4-8-6"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-5-9-0-9-8"
+ inkscape:path-effect="#path-effect5877-5-9-9-8-1-2"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-2-8-7-2-5"
+ inkscape:path-effect="#path-effect5881-0-9-6-8-6-2"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-5-1-2-4-2"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,18.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-2-8-2-0-1"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-51-9-8-7-9"
+ inkscape:path-effect="#path-effect5877-5-5-5-2-1-3"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-23-8-5-8-9"
+ inkscape:path-effect="#path-effect5881-0-4-9-9-5-1"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ <g
+ id="g5883-43-0-9-2-3-6"
+ style="display:inline;enable-background:new"
+ transform="matrix(-1,0,0,1,117.49999,27.000011)">
+ <rect
+ style="fill:#727272;fill-opacity:1;stroke:none"
+ id="rect5855-5-6-6-3-1-0"
+ width="1.7716535"
+ height="4.5"
+ x="-47.021648"
+ y="-849.07678"
+ transform="scale(-1,-1)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 45.249996,846.86222 0,1.03097"
+ id="path5875-1-8-5-3-4-6"
+ inkscape:path-effect="#path-effect5877-5-7-0-5-0-8"
+ inkscape:original-d="m 45.249996,846.86222 0,1.03097"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:0.02000000000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 44.612201,846.86221 0.637795,0"
+ id="path5879-9-7-4-7-3-4"
+ inkscape:path-effect="#path-effect5881-0-6-7-3-9-3"
+ inkscape:original-d="m 44.612201,846.86221 0.637795,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7"
+ transform="matrix(0,-1,-1,0,1255.3251,1138.9247)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="332.96265"
+ y="1016.9246"
+ id="text3751-1-9-3-1-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4"
+ x="332.96265"
+ y="1016.9246">1u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-9-9"
+ transform="matrix(0,1,1,0,-426.39929,598.92468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-0-7"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-1-9"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-5-2"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.67151"
+ y="728.93298"
+ id="text3751-1-9-3-1-0-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-6-9"
+ x="495.67151"
+ y="728.93298">1u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-9-8"
+ transform="matrix(-1,0,0,1,666.46289,-178.9375)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-0-9"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-1-3"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-5-6"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="540.67151"
+ y="746.93298"
+ id="text3751-1-9-3-1-0-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-6-6"
+ x="540.67151"
+ y="746.93298">1u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5431-0"
+ transform="matrix(-1,0,0,-1,1017.48,769.39619)">
+ <g
+ id="g5457-2"
+ transform="translate(495,-306)">
+ <g
+ id="g5532-8">
+ <rect
+ y="320.08765"
+ x="-8.8140697"
+ height="33.767715"
+ width="17.716536"
+ id="rect9830-8-84"
+ style="fill:#0000e4;fill-opacity:1;stroke:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-9"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,396.16218)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-83"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,387.03817)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-2-44"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,378.03817)" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m -8.7957677,346.03 c 17.592102,0 17.592102,0 17.592102,0"
+ inkscape:path-effect="#path-effect5407-5-0"
+ id="path5405-91"
+ d="m -8.7957677,346.03 17.592102,0"
+ style="fill:none;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 0.01713155,345.97151 c 0,16.23231 0,-4.05807 0,-4.05807"
+ inkscape:path-effect="#path-effect5407-0-9-16"
+ id="path5405-0-7"
+ d="m 0.01713155,345.97151 0,-4.05807"
+ style="fill:none;stroke:#000000;stroke-width:0.09999999000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#d45500;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-9-5"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.86614173,0,0,0.86614173,-326.64871,458.11816)" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7-8-1-0"
+ transform="matrix(0,-1,-1,0,1151.8251,1017.4247)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1-5-0-5"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5-0-5-2"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8-6-7-8"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="229.46255"
+ y="895.42462"
+ id="text3751-1-9-3-1-8-4-4-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4-6-2-7"
+ x="229.46255"
+ y="895.42462">1u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7-8-1-0-7"
+ transform="matrix(0,1,1,0,-660.39925,751.9247)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1-5-0-5-9"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5-0-5-2-1"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8-6-7-8-7"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="260.96259"
+ y="881.92462"
+ id="text3751-1-9-3-1-8-4-4-5-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4-6-2-7-9"
+ x="260.96259"
+ y="881.92462">1u</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-0-9-9-6"
+ transform="matrix(0,1,-1,0,1484.8251,666.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-4-2-8-68"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-8-7-6-1"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-0-9-5-8"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="522.46289"
+ y="765.42432"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-4-5-0-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-2-4-2-2"
+ x="522.46289"
+ y="765.42432">22k</tspan><tspan
+ sodipodi:role="line"
+ x="522.46289"
+ y="767.92432"
+ id="tspan12430">taper</tspan></text>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-0-9-9-6-7"
+ transform="matrix(0,1,-1,0,1412.8251,621.42468)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-4-2-8-68-6"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-8-7-6-1-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-0-9-5-8-1"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="450.46286"
+ y="720.42432"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-4-5-0-9-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-2-4-2-2-1"
+ x="450.46286"
+ y="720.42432">22k</tspan><tspan
+ sodipodi:role="line"
+ x="450.46286"
+ y="722.92432"
+ id="tspan12432">taper</tspan></text>
+ <g
+ id="g12523"
+ transform="translate(1.2e-6,-3.0000327)">
+ <path
+ transform="matrix(-1.5555548,0,0,1.5555548,710.46278,643.24381)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-2-7-2-6-6"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.06428575000000000;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Bitstream Vera Sans"
+ x="1015.4247"
+ y="480.46289"
+ id="text4670-0-9-50"
+ sodipodi:linespacing="125%"
+ inkscape:transform-center-x="-2.5136719"
+ inkscape:transform-center-y="-1.8808594"
+ transform="matrix(0,1,1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan4672-4-3-1"
+ x="1015.4247"
+ y="480.46289"
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="486.46289"
+ y="1022.4247"
+ id="text3751-1-9-3-0-2-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9-2-7"
+ x="486.46289"
+ y="1022.4247">470u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="178.46289"
+ y="985.42468"
+ id="text3751-4-8-5-1-6-5-2-88-0-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-2-7"
+ x="178.46289"
+ y="985.42468">reduce to 1k6 ~ 2k</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7-8-1-0-9"
+ transform="matrix(0,-1,-1,0,1007.8251,954.42468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1-5-0-5-6"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5-0-5-2-4"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8-6-7-8-2"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="85.462402"
+ y="832.42462"
+ id="text3751-1-9-3-1-8-4-4-5-38"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4-6-2-7-1"
+ x="85.462402"
+ y="832.42462">1u</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="117.46289"
+ y="891.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-6-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="117.46289"
+ y="891.42468"
+ id="tspan13141">~2k</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="157.46289"
+ y="869.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-6-6-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="157.46289"
+ y="869.42468"
+ id="tspan13141-1">pulldown seems not to be needed</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g12523-4"
+ transform="translate(-270,-275.00003)">
+ <path
+ transform="matrix(-1.5555548,0,0,1.5555548,710.46278,643.24381)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-2-7-2-6-6-8"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.06428575000000000;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Bitstream Vera Sans"
+ x="1015.4247"
+ y="480.46289"
+ id="text4670-0-9-50-5"
+ sodipodi:linespacing="125%"
+ inkscape:transform-center-x="-2.5136719"
+ inkscape:transform-center-y="-1.8808594"
+ transform="matrix(0,1,1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan4672-4-3-1-8"
+ x="1015.4247"
+ y="480.46289"
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="216.46292"
+ y="750.42468"
+ id="text3751-1-9-3-0-2-6-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9-2-7-5"
+ x="216.46292"
+ y="750.42468">470u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g12523-4-3"
+ transform="matrix(1,0,0,-1,-306,1759.8494)">
+ <path
+ transform="matrix(-1.5555548,0,0,1.5555548,710.46278,643.24381)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-2-7-2-6-6-8-4"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.06428575000000000;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Bitstream Vera Sans"
+ x="1015.4247"
+ y="480.46289"
+ id="text4670-0-9-50-5-0"
+ sodipodi:linespacing="125%"
+ inkscape:transform-center-x="-2.5136719"
+ inkscape:transform-center-y="-1.8808594"
+ transform="matrix(0,1,1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan4672-4-3-1-8-1"
+ x="1015.4247"
+ y="480.46289"
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="180.46294"
+ y="760.42468"
+ id="text3751-1-9-3-0-2-6-7-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9-2-7-5-9"
+ x="180.46294"
+ y="760.42468">470u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g12523-4-3-0"
+ transform="matrix(1,0,0,-1,-423,1939.8494)">
+ <path
+ transform="matrix(-1.5555548,0,0,1.5555548,710.46278,643.24381)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-2-7-2-6-6-8-4-4"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.06428575000000000;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Bitstream Vera Sans"
+ x="1015.4247"
+ y="480.46289"
+ id="text4670-0-9-50-5-0-8"
+ sodipodi:linespacing="125%"
+ inkscape:transform-center-x="-2.5136719"
+ inkscape:transform-center-y="-1.8808594"
+ transform="matrix(0,1,1,0,0,0)"><tspan
+ sodipodi:role="line"
+ id="tspan4672-4-3-1-8-1-7"
+ x="1015.4247"
+ y="480.46289"
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="63.462936"
+ y="940.42468"
+ id="text3751-1-9-3-0-2-6-7-6-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-9-2-7-5-9-8"
+ x="63.462936"
+ y="940.42468">470u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5431-31-3"
+ transform="matrix(0,-1,1,0,50.49138,1341.4418)">
+ <g
+ id="g5457-7-0"
+ transform="translate(495,-306)">
+ <g
+ id="g5532-3-4">
+ <rect
+ y="320.08765"
+ x="-8.8140697"
+ height="33.767715"
+ width="17.716536"
+ id="rect9830-8-1-3"
+ style="fill:#0000e4;fill-opacity:1;stroke:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-2-2"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,396.16218)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-0-3"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,387.03817)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-2-1-4"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,378.03817)" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m -8.7957677,346.03 c 17.592102,0 17.592102,0 17.592102,0"
+ inkscape:path-effect="#path-effect5407-5-5-9"
+ id="path5405-3-3"
+ d="m -8.7957677,346.03 17.592102,0"
+ style="fill:none;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 0.01713155,345.97151 c 0,16.23231 0,-4.05807 0,-4.05807"
+ inkscape:path-effect="#path-effect5407-0-9-0-1"
+ id="path5405-0-73-7"
+ d="m 0.01713155,345.97151 0,-4.05807"
+ style="fill:none;stroke:#000000;stroke-width:0.09999999000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#d45500;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-9-01-3"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.86614173,0,0,0.86614173,-326.64871,458.11816)" />
+ </g>
+ </g>
+ </g>
+ <g
+ id="g6397-8-2-7-0-4-4-0-07-4-7-1-5-5-4-8-8-3"
+ transform="translate(18.46289,-88.937504)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linejoin:miter;stroke-miterlimit:6.59999990000000025;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-3-5-4-0-6-6-0-3-8-1-5-0-5-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-3-6-0-4-0-5-6-1-0-0-0-5-1-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-6-2-5-0-0-6-1-2-1-9-3-6-6-4"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="117.46289"
+ y="873.42468"
+ id="text3751-4-8-5-1-6-5-2-88-9-9-3-6-7-6-6-6-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-9-9-9-7-6-6-5-4-9-0-1-4-2-2"
+ x="117.46289"
+ y="873.42468">10k</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7-8-1-0-3"
+ transform="matrix(0,-1,-1,0,1007.8251,1026.4247)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1-5-0-5-96"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5-0-5-2-9"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8-6-7-8-5"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="85.462486"
+ y="904.42462"
+ id="text3751-1-9-3-1-8-4-4-5-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4-6-2-7-8"
+ x="85.462486"
+ y="904.42462">1u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7-8-1-0-3-2"
+ transform="matrix(0,-1,-1,0,1025.8251,1044.4247)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1-5-0-5-96-5"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5-0-5-2-9-8"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8-6-7-8-5-6"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="103.46224"
+ y="922.42462"
+ id="text3751-1-9-3-1-8-4-4-5-9-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4-6-2-7-8-2"
+ x="103.46224"
+ y="922.42462">1u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5431-3-6"
+ transform="matrix(-1,0,0,-1,774.48002,778.39619)"
+ inkscape:transform-center-x="8.8803668">
+ <g
+ id="g5457-9-3"
+ transform="translate(495,-306)">
+ <g
+ id="g5532-5-2">
+ <rect
+ y="320.08765"
+ x="-8.8140697"
+ height="33.767715"
+ width="17.716536"
+ id="rect9830-8-8-0"
+ style="fill:#0000e4;fill-opacity:1;stroke:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-5-6"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,396.16218)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-8-1"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,387.03817)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-2-7-5"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,378.03817)" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m -8.7957677,346.03 c 17.592102,0 17.592102,0 17.592102,0"
+ inkscape:path-effect="#path-effect5407-5-7-1"
+ id="path5405-2-5"
+ d="m -8.7957677,346.03 17.592102,0"
+ style="fill:none;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 0.01713155,345.97151 c 0,16.23231 0,-4.05807 0,-4.05807"
+ inkscape:path-effect="#path-effect5407-0-9-7-0"
+ id="path5405-0-8-4"
+ d="m 0.01713155,345.97151 0,-4.05807"
+ style="fill:none;stroke:#000000;stroke-width:0.09999999000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#d45500;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-9-9-7"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.86614173,0,0,0.86614173,-326.64871,458.11816)" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5431-3-6-5"
+ transform="matrix(-1,0,0,-1,792.48002,778.39619)"
+ inkscape:transform-center-x="8.8803668">
+ <g
+ id="g5457-9-3-6"
+ transform="translate(495,-306)">
+ <g
+ id="g5532-5-2-3">
+ <rect
+ y="320.08765"
+ x="-8.8140697"
+ height="33.767715"
+ width="17.716536"
+ id="rect9830-8-8-0-9"
+ style="fill:#0000e4;fill-opacity:1;stroke:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-5-6-4"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,396.16218)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-8-1-8"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,387.03817)" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#3c81f5;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-2-7-5-1"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.39370079,0,0,0.39370079,-147.00305,378.03817)" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m -8.7957677,346.03 c 17.592102,0 17.592102,0 17.592102,0"
+ inkscape:path-effect="#path-effect5407-5-7-1-3"
+ id="path5405-2-5-2"
+ d="m -8.7957677,346.03 17.592102,0"
+ style="fill:none;stroke:#000000;stroke-width:0.10000000000000001;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 0.01713155,345.97151 c 0,16.23231 0,-4.05807 0,-4.05807"
+ inkscape:path-effect="#path-effect5407-0-9-7-0-6"
+ id="path5405-0-8-4-9"
+ d="m 0.01713155,345.97151 0,-4.05807"
+ style="fill:none;stroke:#000000;stroke-width:0.09999999000000000;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#d45500;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path5596-9-20-1-3-9-9-7-3"
+ sodipodi:cx="373.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:rx="4.5"
+ sodipodi:ry="4.5"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ transform="matrix(0.86614173,0,0,0.86614173,-326.64871,458.11816)" />
+ </g>
+ </g>
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7-8-0"
+ transform="matrix(0,-1,-1,0,1187.8251,873.42468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1-5-3"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5-0-3"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8-6-3"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="265.46274"
+ y="751.42468"
+ id="text3751-1-9-3-1-8-4-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4-6-3"
+ x="265.46274"
+ y="751.42468">1u</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g4674-3-7-7-8-8"
+ transform="matrix(0,1,1,0,-610.89925,621.42468)">
+ <path
+ transform="matrix(0.72222222,0,0,0.72222222,22,750.45668)"
+ d="m 153,237.33069 c 0,4.97056 -4.02944,9 -9,9 -4.97056,0 -9,-4.02944 -9,-9 0,-4.97056 4.02944,-9 9,-9 4.97056,0 9,4.02944 9,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="9"
+ sodipodi:cy="237.33069"
+ sodipodi:cx="144"
+ id="path6447-0-3-0-4-1-5-7"
+ style="fill:#dac601;fill-opacity:0.26744187000000003;stroke:#000000;stroke-width:0.13846154999999999;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline"
+ sodipodi:type="arc" />
+ <text
+ inkscape:transform-center-y="-1.8808594"
+ inkscape:transform-center-x="-2.5136719"
+ sodipodi:linespacing="125%"
+ id="text4670-0-9-5-0-9"
+ y="921.36218"
+ x="126"
+ style="font-size:6px;font-style:normal;font-weight:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;font-family:Bitstream Vera Sans"
+ xml:space="preserve"><tspan
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ y="921.36218"
+ x="126"
+ id="tspan4672-4-3-8-6-6"
+ sodipodi:role="line">+</tspan></text>
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="310.46274"
+ y="751.42468"
+ id="text3751-1-9-3-1-8-4-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-4-0-5-3-4-6-4"
+ x="310.46274"
+ y="751.42468">1u</tspan></text>
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer5"
+ inkscape:label="off board components"
+ style="display:inline"
+ transform="translate(278.53711,287.22095)">
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8"
+ transform="translate(216.07364,-8.0277257)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-1"
+ transform="translate(171.07364,-8.0277257)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-6"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-0"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-3"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-2"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-6"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-4"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-13"
+ transform="translate(351.07364,-8.0277267)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-5"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-5"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-7"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-8"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-4"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-3"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-1-1"
+ transform="translate(306.07364,-8.0277287)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-6-9"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-0-6"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-3-5"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-2-0"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-6-5"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-4-6"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ id="g7409"
+ transform="translate(-107.46968,54.923881)">
+ <path
+ inkscape:connector-curvature="0"
+ d="m 306,-257.66931 27,0 0,18 -27,0 z m 27,0.5 c 0,7.45584 -6.04416,13.5 -13.5,13.5 -7.45584,0 -13.5,-6.04416 -13.5,-13.5 0,-7.45585 6.04416,-13.5 13.5,-13.5 7.45584,0 13.5,6.04415 13.5,13.5 z"
+ style="fill:#5c2d17;fill-opacity:1;stroke:none"
+ id="rect7331" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,285.62598,-266.67142)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-3"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,312.62598,-266.67142)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-5"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,299.23228,-266.67142)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-4"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(1.3333333,0,0,1.3333333,-106.41731,88.889772)"
+ d="m 324,-262.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-262.16931"
+ sodipodi:cx="319.5"
+ id="path7379"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,285.85433,-275.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-3-4"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,312.85433,-275.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-5-0"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,299.23228,-275.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-4-1"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ id="g6397-8-2-7-1-8"
+ transform="matrix(-1,0,0,-1,297.53033,795.66514)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linejoin:miter;stroke-miterlimit:6.5999999;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-0-2"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-5-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-8-1"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="198.53033"
+ y="-166.69704"
+ id="text3751-4-8-5-4-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-0-4"
+ x="198.53033"
+ y="-166.69704">33k</tspan></text>
+ <g
+ id="g6397-8-2-7-1-8-4"
+ transform="matrix(0,-1,1,0,-718.83185,-94.745432)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linejoin:miter;stroke-miterlimit:6.5999999;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-0-2-9"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-5-7-7"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-8-1-0"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="243.53033"
+ y="-193.69704"
+ id="text3751-4-8-5-4-8-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-0-4-0"
+ x="243.53033"
+ y="-193.69704">33k</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349"
+ transform="matrix(0,1,-1,0,597.86102,31.30296)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8"
+ transform="matrix(0,1,-1,0,597.98845,139.41951)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-13-8"
+ transform="translate(459.07364,-8.0277267)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-5-7"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-5-2"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-7-8"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-8-2"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-4-9"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-3-9"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-1-1-6"
+ transform="translate(414.07364,-8.0277287)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-6-9-0"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-0-6-2"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-3-5-7"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-2-0-6"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-6-5-1"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-4-6-3"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ transform="translate(-206.46967,567.97227)"
+ style="display:inline;enable-background:new"
+ id="g6913-4">
+ <path
+ sodipodi:nodetypes="ccccc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 297,-194.66931 36,0 0,54 -36,0 z"
+ inkscape:path-effect="#path-effect5586-8-1-5-1"
+ id="path5584-1-2"
+ d="m 297,-194.66931 36,0 0,54 -36,0 0,-54"
+ style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 315,-194.66931 0,54"
+ inkscape:path-effect="#path-effect5590-6-4-1-0"
+ id="path5588-5-2"
+ d="m 315,-194.66931 0,54"
+ style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,140,-129.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-9-20"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,158,-129.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-8-9-5"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,158,-93.149632)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-74-7-52"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,140,-93.149632)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-0-9-9"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,140,-111.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-86-9-02"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#f53c3c;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,158,-111.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-2-2-8"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#f53c3c;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer10"
+ inkscape:label="switch wiring"
+ style="display:inline">
+ <path
+ sodipodi:nodetypes="csc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 603.53033,193.30296 c 0,0 3,-12 9,-18 6,-6 9,-18 9,-18"
+ inkscape:path-effect="#path-effect5948-4-8-1-27-7-1-4"
+ id="path5946-3-7-5"
+ d="m 603.53033,193.30296 9,-18 9,-18"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ sodipodi:nodetypes="csc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 621.53033,193.30296 c 0,0 -15,-12 -9,-18 6,-6 -9,-18 -9,-18"
+ inkscape:path-effect="#path-effect5948-4-8-1-27-7-1-4-0"
+ id="path5946-3-7-5-0"
+ d="m 621.53033,193.30296 -9,-18 -9,-18"
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ </g>
+ <g
+ transform="translate(297.53033,342.97227)"
+ style="display:inline;enable-background:new"
+ id="g6913-4-5">
+ <path
+ sodipodi:nodetypes="ccccc"
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 297,-194.66931 36,0 0,54 -36,0 z"
+ inkscape:path-effect="#path-effect5586-8-1-5-1-9"
+ id="path5584-1-2-4"
+ d="m 297,-194.66931 36,0 0,54 -36,0 0,-54"
+ style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ inkscape:connector-curvature="0"
+ inkscape:original-d="m 315,-194.66931 0,54"
+ inkscape:path-effect="#path-effect5590-6-4-1-0-3"
+ id="path5588-5-2-3"
+ d="m 315,-194.66931 0,54"
+ style="fill:none;stroke:#b2b2b2;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,140,-129.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-9-20-6"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,158,-129.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-8-9-5-4"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,158,-93.149632)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-74-7-52-3"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,140,-93.149632)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-0-9-9-1"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,140,-111.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-86-9-02-9"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#f53c3c;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(0.44444444,0,0,0.44444444,158,-111.14962)"
+ d="m 378,-127.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-127.16931"
+ sodipodi:cx="373.5"
+ id="path5596-2-2-8-1"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#f53c3c;stroke-width:2.25;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-5"
+ transform="matrix(0,1,-1,0,597.86102,-31.69704)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-3"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-2"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-4"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-8"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-3"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-5"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g7409-9"
+ transform="translate(180.07364,81.972274)">
+ <path
+ inkscape:connector-curvature="0"
+ d="m 306,-257.66931 27,0 0,18 -27,0 z m 27,0.5 c 0,7.45584 -6.04416,13.5 -13.5,13.5 -7.45584,0 -13.5,-6.04416 -13.5,-13.5 0,-7.45585 6.04416,-13.5 13.5,-13.5 7.45584,0 13.5,6.04415 13.5,13.5 z"
+ style="fill:#5c2d17;fill-opacity:1;stroke:none"
+ id="rect7331-4" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,285.62598,-266.67142)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-3-7"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,312.62598,-266.67142)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-5-8"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,299.23228,-266.67142)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-4-4"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ transform="matrix(1.3333333,0,0,1.3333333,-106.41731,88.889772)"
+ d="m 324,-262.16931 c 0,2.48528 -2.01472,4.5 -4.5,4.5 -2.48528,0 -4.5,-2.01472 -4.5,-4.5 0,-2.48528 2.01472,-4.5 4.5,-4.5 2.48528,0 4.5,2.01472 4.5,4.5 z"
+ sodipodi:ry="4.5"
+ sodipodi:rx="4.5"
+ sodipodi:cy="-262.16931"
+ sodipodi:cx="319.5"
+ id="path7379-5"
+ style="fill:#161616;fill-opacity:1;stroke:none"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,285.85433,-275.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-3-4-0"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,312.85433,-275.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-5-0-3"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,299.23228,-275.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-0-4-1-6"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ id="g6397-8-2-7-1-8-0"
+ transform="matrix(-1,0,0,-1,612.07364,777.66514)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linejoin:miter;stroke-miterlimit:6.5999999;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-0-2-6"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-5-7-1"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-8-1-5"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="513.07367"
+ y="-184.69705"
+ id="text3751-4-8-5-4-8-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-0-4-4"
+ x="513.07367"
+ y="-184.69705">33k</tspan></text>
+ <g
+ id="g6397-8-2-7-1-8-7"
+ transform="matrix(-1,0,0,-1,585.07364,822.66514)"
+ style="fill:#5fa6c7;fill-opacity:1;display:inline;enable-background:new">
+ <rect
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linejoin:miter;stroke-miterlimit:6.5999999;stroke-opacity:1;stroke-dasharray:none"
+ id="rect6399-2-0-8-0-2-65"
+ width="4"
+ height="18"
+ x="97"
+ y="953.36218" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,246.33069 0,9"
+ id="path6401-4-5-3-5-7-6"
+ transform="translate(0,698.03149)"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:#5fa6c7;fill-opacity:1;stroke:#000000;stroke-width:0.1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none"
+ d="m 99,971.36218 0,9"
+ id="path6403-5-5-6-8-1-9"
+ inkscape:connector-curvature="0" />
+ </g>
+ <text
+ xml:space="preserve"
+ style="font-size:2px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="486.07361"
+ y="-139.69704"
+ id="text3751-4-8-5-4-8-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan3753-5-5-6-0-4-7"
+ x="486.07361"
+ y="-139.69704">33k</tspan></text>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-8"
+ transform="translate(-8.4696729,-8.0277277)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-8"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-2"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-4"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-5"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-5"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-1"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-1-7"
+ transform="translate(-53.46967,-8.0277277)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-6-1"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-0-1"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-3-52"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-2-7"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-6-6"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-4-1"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ id="g8762"
+ transform="translate(0.53033009,0.97227183)">
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,-74.374016,-103.12811)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-2-8-0-5-1-7-7"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,-56.374016,-103.12811)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-2-8-0-5-1-7-72"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,-65.374016,-87.128108)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-2-8-0-5-1-7-1"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ d="m -27,-68.669312 c 0,9.941126 -8.058875,18 -18,18 -9.941125,0 -18,-8.058874 -18,-18 0,-9.941125 8.058875,-18 18,-18 9.941125,0 18,8.058875 18,18 z"
+ sodipodi:ry="18"
+ sodipodi:rx="18"
+ sodipodi:cy="-68.669312"
+ sodipodi:cx="-45"
+ id="path8739"
+ style="fill:none;stroke:#989898;stroke-width:0.70866144;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0"
+ sodipodi:type="arc" />
+ </g>
+ <g
+ style="display:inline;enable-background:new"
+ id="g5349-8-8-8-7"
+ transform="translate(-260.46967,144.97227)">
+ <path
+ sodipodi:nodetypes="cccc"
+ inkscape:connector-curvature="0"
+ id="path5311-4-7-8-2"
+ d="m 54,-59.669312 24,0 0,26.999996 4,0"
+ style="fill:none;stroke:#f5be3c;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cccssc"
+ inkscape:connector-curvature="0"
+ id="path5313-9-1-2-6"
+ d="m 54,-68.669312 31,0 0,35.999996 c 0,0 1.897565,9.899441 -2,9.000002 -13,-2.999999 -7.650041,-5.650042 -11,-9 -9,-9 0,-9 0,-9"
+ style="fill:none;stroke:#ca0000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-4-4"
+ d="m 54,-77.669312 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#f53ca0;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-104.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-5-5"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-95.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-1-6-0-5-2"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-86.899758)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-16-7-0-1-0"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ <path
+ sodipodi:nodetypes="cc"
+ inkscape:connector-curvature="0"
+ id="path5315-2-2-4-4-0"
+ d="m 54,-86.669314 31,0"
+ style="fill:#3c81f5;fill-opacity:1;stroke:#3c81f5;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new" />
+ <path
+ inkscape:transform-center-x="1.7716536"
+ transform="matrix(0.0984252,0,0,0.19685039,33.85433,-113.89976)"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ sodipodi:ry="9"
+ sodipodi:rx="18"
+ sodipodi:cy="138.33069"
+ sodipodi:cx="207"
+ id="path3458-5-4-3-1-6-5-5-3"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ sodipodi:type="arc" />
+ </g>
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer8"
+ inkscape:label="off board wiring"
+ style="display:inline"
+ transform="translate(278.53711,287.22095)">
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 225.46289,202.39319 c -18.2637,0.44492 -36.62028,-5.57307 -51.07567,-16.74455 -14.4554,-11.17149 -24.90749,-27.41754 -29.08207,-45.2033 -4.17459,-17.78577 -2.04218,-36.98558 5.93326,-53.421917 7.97543,-16.436335 21.73753,-29.993054 38.29192,-37.720463 6.11879,-2.856185 12.57034,-4.928812 18.80533,-7.521554 6.23499,-2.592742 12.34945,-5.775126 17.19467,-10.478446 6.93057,-6.727597 10.74633,-16.071009 12.97342,-25.4695961 2.22709,-9.3985874 3.04779,-19.0764219 5.02658,-28.5304039 4.16874,-19.916826 13.56779,-38.71491 27,-54"
+ id="path7127"
+ inkscape:path-effect="#path-effect7129"
+ inkscape:original-d="m 225.46289,202.39319 c -9,-40.5 -64.05756,-130.60233 -35.93256,-153.09023 28.125,-22.487905 31.5,-13.499999 36,-18 4.5,-4.5 7.875,-37.1249997 18,-54 10.125,-16.875 27,-54 27,-54"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 261.46289,166.39319 c 27.85917,-24.3722 49.70087,-55.57252 63.06744,-90.09023 6.85265,-17.696228 11.49205,-36.174124 18,-54 13.51988,-37.0322 35.17925,-71.068351 63,-99"
+ id="path7127-9"
+ inkscape:path-effect="#path-effect7129-7"
+ inkscape:original-d="m 261.46289,166.39319 c -9,-40.5 79.17499,-90.09023 63.06744,-90.09023 -9,10e-7 0,-36 18,-54 9,-8.999999 63,-99 63,-99"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 405.07364,-76.697038 c -2.99774,-0.142749 -6.00226,-0.142749 -9,0 -12.43592,0.592187 -24.74845,3.67032 -36,9"
+ id="path7256-9"
+ inkscape:path-effect="#path-effect7258-3"
+ inkscape:original-d="m 405.07364,-76.697038 c -45,36.000001 1.22174,5.787452 -9,0 -10.22174,-5.787451 -36,9 -36,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 198.53033,-193.74543 c -2.91567,-7.02469 -2.62467,-15.30885 0.77672,-22.11164 3.4014,-6.80279 9.85412,-12.00608 17.22328,-13.88836 4.72801,-1.20766 9.79942,-1.07973 14.43872,0.43331 4.63931,1.51305 8.8265,4.41498 11.81569,8.27208 2.98919,3.8571 4.75835,8.65858 4.91527,13.53586 0.15693,4.87728 -1.31108,9.80389 -4.16968,13.75875 -4.08559,5.65239 -11.02674,9.12297 -18,9"
+ id="path7419"
+ inkscape:path-effect="#path-effect7421"
+ inkscape:original-d="m 198.53033,-193.74543 c -9,-27 4.64716,-34.69548 18,-36 20.00002,-1.95392 35.41377,26.93991 27,36 -9,9 -18,9 -18,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cscc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 211.53033,-193.74543 c -1.1781,-6.54498 0.34539,-13.53465 4.14035,-18.99569 3.79496,-5.46104 9.81467,-9.32621 16.35965,-10.50431 6.54498,-1.1781 13.53465,0.34539 18.99569,4.14035 5.46104,3.79496 9.32621,9.81467 10.50431,16.35965 0.53428,2.96822 0.53428,6.03178 0,9"
+ id="path7423"
+ inkscape:path-effect="#path-effect7425"
+ inkscape:original-d="m 211.53033,-193.74543 c -18,-31.5 33,-16.875 50,-9 17,7.875 0,9 0,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 212.53033,-184.74543 c 2.17145,9.18419 0.31122,19.2474 -5,27.04839 -2.40176,3.52765 -5.47235,6.59824 -9,9"
+ id="path7427"
+ inkscape:path-effect="#path-effect7429"
+ inkscape:original-d="m 212.53033,-184.74543 c 4,9.04839 -5,9.04839 -5,27.04839 0,18 -9,9 -9,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 225.53033,-193.74543 c 7.34808,4.41141 13.58859,10.65192 18,18 5.64953,9.41042 8.20606,20.32098 10.24814,31.10537 2.04209,10.78438 3.67898,21.75063 7.75186,31.94302 7.35322,18.40144 22.81841,33.388626 41.44157,40.160685 18.62316,6.772058 40.10285,5.219371 57.55843,-4.160685"
+ id="path7431"
+ inkscape:path-effect="#path-effect7433"
+ inkscape:original-d="m 225.53033,-193.74543 c 18,4.5 25.875,6.75 18,18 -7.875,11.25 4.5,49.54839 18,63.04839 27,27 99,36 99,36"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 198.53033,-184.74543 c -9.06319,16.27407 -12.30053,35.71554 -9,54.04839 3.94257,21.89905 17.30186,41.937988 36,54"
+ id="path7431-3"
+ inkscape:path-effect="#path-effect7433-9"
+ inkscape:original-d="m 198.53033,-184.74543 c -19.81001,-50.44564 -9,36.04839 -9,54.04839 0,20.12461 36,54 36,54"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 603.53033,157.30296 c 0,0 22.24923,-45 -18,-45 -18,0 -27.06744,9.09023 -27.06744,9.09023"
+ id="path5358"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 657.53033,85.302955 c 0,0 0.12743,-17.88344 9.12743,-17.88344 9,0 8.87257,17.88344 8.87257,17.88344"
+ id="path5360"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 657.53033,85.30296 c 0,0 -34.73842,-21.784738 -45,9 -9,27 -18.06744,81.09023 -54.06744,90.09023"
+ id="path5362"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 603.53033,193.30296 c 0,0 0,36 -9,45 -6.36396,6.36396 -18.06744,18.09023 -36.06744,9.09023"
+ id="path5362-0-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 657.65776,193.41951 c 0,0 0,-18 9,-18 9,0 9,9 9,18"
+ id="path5362-0-90"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 459.46289,76.393186 c 0,0 32.6521,5.913025 45,9 36,9 63,27.000004 63,27.000004"
+ id="path5358-7-1-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 90.46289,220.39319 c 17.83312,-32.05838 38.98199,-62.27105 63,-90 16.68061,-19.25787 34.74213,-37.319395 54,-54.000004"
+ id="path5669-4-4"
+ inkscape:path-effect="#path-effect5671-7-8-4"
+ inkscape:original-d="m 90.46289,220.39319 c -9,0 38.08093,-65.08092 63,-90 9,-9.00001 30.30787,-42.661614 54,-54.000004"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 216.46289,247.39319 c 0,0 -32.72526,30.35181 -54,54 -21.27474,23.64819 -18,63 -44.93256,80.90977"
+ id="path5358-7-1-6-6-0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 234.46289,211.39319 c 0,0 18.06744,35.90977 18.06744,116.90977 0,81 -135,90 -135,90"
+ id="path5358-7-1-6-6-0-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 117.46289,400.39319 c 0,0 234,81 315,27 27,-18 144,-153.00003 144,-171"
+ id="path5358-7-8"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 72.46289,202.39319 c 0,0 9,-36 36,-63 58.67282,-58.672829 171,-27 171,-27"
+ id="path5358-7-8-6-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 270.07364,-76.697038 c -2.99774,-0.142749 -6.00226,-0.142749 -9,0 -12.43592,0.592187 -24.74845,3.67032 -36,9"
+ id="path7256-9-2"
+ inkscape:path-effect="#path-effect7258-3-7"
+ inkscape:original-d="m 270.07364,-76.697038 c -45,36.000002 1.22174,5.787452 -9,0 -10.22174,-5.787451 -36,9 -36,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 666.53033,85.30296 c 0,0 13.94559,40.05441 -9,63 -18,18 -27,9 -36,27"
+ id="path5362-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 666.53033,193.30296 c 0,0 -3.55004,45 -36,45 -36,0 0,-54 -27,-63"
+ id="path5362-9-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 198.46289,-193.60681 c -22.58688,44.40375 -22.58688,99.59625 0,143.999996 19.89633,39.114376 56.7203,69.243078 99,81"
+ id="path7431-3-0"
+ inkscape:path-effect="#path-effect7433-9-4"
+ inkscape:original-d="m 198.46289,-193.60681 c -49.41942,18.17678 0,116.999996 0,143.999996 0,27 99,81 99,81"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 500.07364,-157.74543 c 2.17145,9.18419 0.31122,19.2474 -5,27.04838 -2.40176,3.52765 -5.47235,6.59824 -9,9"
+ id="path7427-5"
+ inkscape:path-effect="#path-effect7429-4"
+ inkscape:original-d="m 500.07364,-157.74543 c 4,9.04839 -5,9.04839 -5,27.04838 0,18 -9,9 -9,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 513.07364,-202.69704 c -3.54467,2.37975 -6.62025,5.45533 -9,9 -5.25481,7.82712 -6.91748,17.96709 -4.4375,27.0625"
+ id="path7427-54"
+ inkscape:path-effect="#path-effect7429-2"
+ inkscape:original-d="m 513.07364,-202.69704 c 0,9 -3.72907,3.08231 -9,9 -8.46562,9.50438 -4.4375,27.0625 -4.4375,27.0625"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 486.07364,-166.69704 c 5.54979,-3.80583 11.62546,-6.84367 18,-9 5.1515,-1.74261 10.71267,-2.91259 16.01441,-1.70174 2.65088,0.60542 5.18802,1.81843 7.1844,3.66455 1.99637,1.84611 3.42598,4.34407 3.80119,7.03719 0.30419,2.18337 -0.0934,4.45902 -1.11988,6.40991 -1.02648,1.95088 -2.67682,3.56738 -4.64854,4.55325 -1.97173,0.98586 -4.25513,1.33622 -6.43173,0.98688 -2.1766,-0.34934 -4.23567,-1.39667 -5.79985,-2.95004"
+ id="path7419-4"
+ inkscape:path-effect="#path-effect7421-7"
+ inkscape:original-d="m 486.07364,-166.69704 c -9,-27.00001 4.64716,-7.69548 18,-9 20.00002,-1.95392 35.41377,-0.0601 27,9 -9,9 -18,9 -18,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cscc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="M 486.46289,-166.60681 C 449.07305,-91.430824 388.69576,-27.882582 315.53033,13.30296 303.80525,19.903124 291.76445,25.942386 279.46289,31.393186"
+ id="path7431-3-0-5-3-1"
+ inkscape:path-effect="#path-effect7433-9-4-9-4-6"
+ inkscape:original-d="m 486.46289,-166.60681 c -49.41942,18.17678 -161.93256,143.90977 -170.93256,179.90977 -9,36 -36.06744,18.090226 -36.06744,18.090226"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 513.07364,-76.697036 c -2.99774,-0.142749 -6.00226,-0.142749 -9,0 -12.43592,0.592187 -24.74845,3.67032 -36,9"
+ id="path7256-9-7"
+ inkscape:path-effect="#path-effect7258-3-1"
+ inkscape:original-d="m 513.07364,-76.697036 c -45,36.000002 1.22174,5.787452 -9,0 -10.22174,-5.787451 -36,9 -36,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 513.07364,-166.69704 c 5.3265,-0.35802 10.7555,1.01226 15.27408,3.85518 4.51858,2.84293 8.10364,7.14397 10.08632,12.10066 1.98268,4.95669 2.35283,10.5437 1.04139,15.71863 -1.31144,5.17493 -4.29776,9.91134 -8.40179,13.32553 -3.2809,2.72942 -7.22184,4.60245 -11.33028,5.75778 -4.10843,1.15534 -8.38856,1.61107 -12.6555,1.69661 -8.53387,0.17106 -17.0423,-1.119 -25.57754,-1.04304 -8.53525,0.076 -17.43511,1.69079 -24.17571,6.92724 -3.3703,2.61823 -6.10428,6.1218 -7.51154,10.150904 -1.40727,4.0291 -1.4269,8.586207 0.25057,12.510508 1.4336,3.353798 4.08692,6.170433 7.34921,7.801579 3.26229,1.631146 7.10759,2.063816 10.65079,1.198421"
+ id="path7419-4-0"
+ inkscape:path-effect="#path-effect7421-7-4"
+ inkscape:original-d="m 513.07364,-166.69704 c -9,-27.00001 7.21368,36.5783 18,45 10.78632,8.42171 -71.396,24.285006 -81,36.000002 -9.604,11.714997 18,9 18,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cczc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 495.46289,40.393186 c 14.07798,-14.842447 23.62696,-33.9246383 27.06744,-54.090226 3.62579,-21.251714 0.43124,-43.613576 -9,-63"
+ id="path5669-4-4-8-7"
+ inkscape:path-effect="#path-effect5671-7-8-4-0-5"
+ inkscape:original-d="m 495.46289,40.393186 c -18,0 36.06744,-63.090225 27.06744,-54.090226 -10.81665,10.8166538 -9,-63 -9,-63"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 423.53033,49.30296 c 29.79014,-9.009174 57.61252,-24.466051 81,-44.9999997 23.87628,-20.9631083 43.24855,-47.4888183 53.60472,-77.5267663 10.35618,-30.037954 11.32804,-63.640304 0.39528,-93.473234 -3.12082,-8.51599 -7.20804,-16.74013 -12.7761,-23.89961 -5.56805,-7.15949 -12.67067,-13.23656 -20.96045,-16.91641 -8.28977,-3.67984 -17.80052,-4.8582 -26.57354,-2.55695 -8.77301,2.30126 -16.68225,8.23662 -20.68991,16.37297 -2.97135,6.03242 -3.72858,13.12568 -2.09765,19.64941 1.63094,6.52373 5.63709,12.42615 11.09765,16.35059"
+ id="path5669-4-4-8-7-1"
+ inkscape:path-effect="#path-effect5671-7-8-4-0-5-6"
+ inkscape:original-d="m 423.53033,49.30296 c -15.84821,0 107.41339,-53.9999947 81,-44.9999997 -3.6199,1.23343 65.86797,-142.0867203 54,-171.0000003 -11.86797,-28.91328 -77.17605,-4.94896 -81,-27 -7.64791,-44.10209 9,36 9,36"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 666.53033,22.30296 c 0,0 4.24923,-9 -36,-9 -18,0 -72,36 -72,36"
+ id="path5358-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="csc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 0.53033009,-76.69704 c -8.97002779,5.200216 -15.94058609,13.757222 -19.21936109,23.593546 -3.278775,9.836325 -2.836532,20.864265 1.219361,30.406454 5.175531,12.176331 15.7194783,21.3445727 27.1494628,28.0082507 C 21.109777,11.974889 33.625564,16.53012 45.53033,22.30296 c 34.700213,16.826772 64.12676,44.34049 83.24437,77.8332 19.11762,33.49272 27.84479,72.82162 24.68819,111.25703"
+ id="path7431-3-7"
+ inkscape:path-effect="#path-effect7433-9-7"
+ inkscape:original-d="m 0.53033009,-76.69704 c -27.00000009,0 -30.72792209,28.544156 -18.00000009,54 7.256032,14.5120642 35.564008,30.6280172 63,45 27.435992,14.371984 107.93256,189.09023 107.93256,189.09023"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 45.53033,-67.69704 c -4.633119,4.566152 -7.978621,10.425104 -9.556325,16.735919 -1.577704,6.310815 -1.382944,13.054832 0.556325,19.264081 1.988398,6.366552 5.758526,12.09539 10.423831,16.862112 4.665306,4.766723 10.210784,8.6063024 16.05282,11.8245838 C 74.691052,3.4262187 87.554598,7.426846 99.53033,13.30296 c 21.69253,10.64384 40.27191,27.541444 52.92006,48.129825 12.64815,20.588382 19.32313,44.799265 19.0125,68.960405"
+ id="path7431-3-7-6"
+ inkscape:path-effect="#path-effect7433-9-7-7"
+ inkscape:original-d="m 45.53033,-67.69704 c -27,0 -21.727922,10.544156 -9,36 7.256032,14.512065 35.564008,30.6280168 63,45 27.43599,14.371979 71.93256,117.09023 71.93256,117.09023"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 45.53033,-76.69704 c -19.890043,13.027857 -35.7724438,32.086737 -44.99999991,54 C -9.2142183,0.44395696 -11.490044,26.398051 -7.7519945,51.227247 -4.0139449,76.056442 5.5715932,99.796377 18.53033,121.30296 c 19.903311,33.03194 47.939421,61.12267 80.93256,81.09023"
+ id="path7431-3-7-6-8"
+ inkscape:path-effect="#path-effect7433-9-7-7-8"
+ inkscape:original-d="m 45.53033,-76.69704 c -27,0 -57.727922,28.544156 -44.99999991,54 7.25603201,14.5120653 -9.43599199,129.62802 17.99999991,144 27.43599,14.37198 80.93256,81.09023 80.93256,81.09023"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -35.46967,-74.69704 c -3.111641,-4.146378 -4.835643,-9.315913 -4.835643,-14.5 0,-5.184087 1.724002,-10.353622 4.835643,-14.5 2.09236,-2.78815 4.803845,-5.11973 7.910083,-6.70183 3.106238,-1.58209 6.605646,-2.40584 10.089917,-2.29817 6.317509,0.19522 12.4529158,3.60173 15.9589123,8.86073 3.5059965,5.25899 4.2906931,12.232637 2.04108779,18.13927"
+ id="path7431-3-7-6-7"
+ inkscape:path-effect="#path-effect7433-9-7-7-2"
+ inkscape:original-d="m -35.46967,-74.69704 c -27,0 -12.72792,-54.45585 0,-29 7.25603,14.512065 -9.43599,-23.37198 18,-9 27.4359901,14.371979 18.00000009,27 18.00000009,27"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -53.46967,-74.69704 c -4.747268,4.045199 -8.221511,9.563081 -9.817514,15.592423 -1.596002,6.029342 -1.306698,12.543457 0.817514,18.407577 2.21184,6.106026 6.319563,11.391642 11.125851,15.75918 4.806288,4.367538 10.314954,7.883568 15.874149,11.24082 46.61864,28.153447 99.037479,46.654214 153,54"
+ id="path7431-3-7-6-7-0"
+ inkscape:path-effect="#path-effect7433-9-7-7-2-5"
+ inkscape:original-d="m -53.46967,-74.69704 c -27,0 -21.72792,8.54415 -9,34 7.25603,14.512064 -0.43599,12.62802 27,27 27.4359901,14.37197781 153,54 153,54"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -44.46967,-58.69704 c -3.932879,8.4197 -3.932879,18.5803 0,27 3.253011,6.964206 8.953226,12.560811 15.356505,16.8129 6.403279,4.252089 13.531573,7.2711427 20.6434951,10.1871003 C 35.451933,13.311247 80.588075,28.356628 126.53033,40.30296"
+ id="path7431-3-7-6-7-0-8"
+ inkscape:path-effect="#path-effect7433-9-7-7-2-5-4"
+ inkscape:original-d="m -44.46967,-58.69704 c -27,0 -12.72792,1.54415 0,27 7.25603,14.512065 8.56401,12.628018 36.0000001,27.0000003 C 18.96632,9.6749373 126.53033,40.30296 126.53033,40.30296"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cssc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 261.46289,40.393186 c -5.81683,3.349082 -11.83064,6.355988 -18,9 -8.72983,3.741355 -17.77132,6.755187 -27,9"
+ id="path7256-9-0"
+ inkscape:path-effect="#path-effect7258-3-5"
+ inkscape:original-d="m 261.46289,40.393186 c 0,0 -7.77826,14.78745 -18,9 -10.22174,-5.78745 -27,9 -27,9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ style="fill:none;stroke:#1d9314;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m 315.46289,40.393186 c 3.53429,5.890486 4.62193,13.199637 2.95584,19.863961 -1.66608,6.664324 -6.06535,12.601747 -11.95584,16.136039 -4.05315,2.431888 -8.77326,3.739284 -13.5,3.739284 -4.72674,0 -9.44685,-1.307396 -13.5,-3.739284"
+ id="path7256-9-0-3"
+ inkscape:path-effect="#path-effect7258-3-5-7"
+ inkscape:original-d="m 315.46289,40.393186 c -45,36 1.22174,41.78745 -9,36 -10.22174,-5.78745 -27,0 -27,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="czc" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-1-45-1"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,196.15631,30.844159)"
+ inkscape:transform-center-x="1.7716536" />
+ <path
+ sodipodi:type="arc"
+ style="fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new"
+ id="path3458-5-2-8-0-5-1-45-7"
+ sodipodi:cx="207"
+ sodipodi:cy="138.33069"
+ sodipodi:rx="18"
+ sodipodi:ry="9"
+ d="m 225,138.33069 c 0,4.97056 -8.05887,9 -18,9 -9.94113,0 -18,-4.02944 -18,-9 0,-4.97056 8.05887,-9 18,-9 9.94113,0 18,4.02944 18,9 z"
+ transform="matrix(0.0984252,0,0,0.19685039,241.15631,12.844159)"
+ inkscape:transform-center-x="1.7716536" />
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer3"
+ inkscape:label="blocks"
+ style="display:none"
+ transform="translate(278.53711,287.22095)">
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline"
+ id="rect7525-4-67"
+ width="108"
+ height="126"
+ x="202.30296"
+ y="-297.53033"
+ transform="matrix(0,1,-1,0,0,0)" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4-67-2"
+ width="98.786301"
+ height="153"
+ x="198.5303"
+ y="49.302959" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4-6"
+ width="99"
+ height="90"
+ x="-558.53033"
+ y="76.302963"
+ transform="scale(-1,1)" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4"
+ width="90.000122"
+ height="90"
+ x="-558.53046"
+ y="-256.30295"
+ transform="scale(-1,-1)" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4-6-8-4"
+ width="90"
+ height="54"
+ x="-504.53033"
+ y="22.302959"
+ transform="scale(-1,1)" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4-6-8-4-2"
+ width="54"
+ height="45"
+ x="-558.53033"
+ y="31.302959"
+ transform="scale(-1,1)" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4-67-6"
+ width="90"
+ height="54"
+ x="130.30296"
+ y="-198.53033"
+ transform="matrix(0,1,-1,0,0,0)" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4-67-6-2"
+ width="54"
+ height="45"
+ x="157.30296"
+ y="-135.53033"
+ transform="matrix(0,1,-1,0,0,0)" />
+ <rect
+ style="fill:#727272;fill-opacity:0.19565214;stroke:#ffffff;stroke-opacity:1;display:inline;enable-background:new"
+ id="rect7525-4-67-6-2-1"
+ width="108"
+ height="108"
+ x="31.302958"
+ y="-180.53033"
+ transform="matrix(0,1,-1,0,0,0)" />
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer4"
+ inkscape:label="block labels"
+ style="display:none"
+ transform="translate(278.53711,287.22095)">
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="198.53033"
+ y="247.30296"
+ id="text7551-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6"
+ x="198.53033"
+ y="247.30296"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">Crossover</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="225.53033"
+ y="121.30296"
+ id="text7551-9-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-4"
+ x="225.53033"
+ y="121.30296"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">Blend</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="477.53033"
+ y="130.30296"
+ id="text7551"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553"
+ x="477.53033"
+ y="130.30296"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">Booster</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="477.5304"
+ y="220.30298"
+ id="text7551-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-7"
+ x="477.5304"
+ y="220.30298"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">Booster</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="416.53033"
+ y="47.302959"
+ id="text7551-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-61"
+ x="416.53033"
+ y="47.302959"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">S/R</tspan><tspan
+ sodipodi:role="line"
+ x="416.53033"
+ y="72.302963"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ id="tspan6258">Buffer</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="495.53033"
+ y="49.302959"
+ id="text7551-3-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="495.53033"
+ y="49.302959"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ id="tspan5004">Tuner</tspan><tspan
+ sodipodi:role="line"
+ x="495.53033"
+ y="74.302963"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ id="tspan6260">Out</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="97.530327"
+ y="181.30296"
+ id="text7551-9-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-8"
+ x="97.530327"
+ y="181.30296"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">2&gt;1</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="153.53033"
+ y="175.30296"
+ id="text7551-9-2-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-8-0"
+ x="153.53033"
+ y="175.30296"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">Line</tspan><tspan
+ sodipodi:role="line"
+ x="153.53033"
+ y="200.30296"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ id="tspan5781">Out</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="99.530327"
+ y="85.302963"
+ id="text7551-9-2-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-8-3"
+ x="99.530327"
+ y="85.302963"
+ style="font-size:20px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;fill:#000000;fill-opacity:1;stroke:#ffffff;stroke-width:1.20000005;stroke-linejoin:round;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">DI OUT</tspan></text>
+ </g>
+ <g
+ inkscape:groupmode="layer"
+ id="layer7"
+ inkscape:label="info"
+ style="display:inline"
+ transform="translate(278.53711,287.22095)">
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="684.53033"
+ y="85.302963"
+ id="text7551-6-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2"
+ x="684.53033"
+ y="85.302963">Input A</tspan><tspan
+ sodipodi:role="line"
+ x="684.53033"
+ y="100.30296"
+ id="tspan4163">(melody)</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="684.53033"
+ y="193.30296"
+ id="text7551-6-6-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2-0"
+ x="684.53033"
+ y="193.30296">Input B</tspan><tspan
+ sodipodi:role="line"
+ x="684.53033"
+ y="208.30296"
+ id="tspan4165">(bass)</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="288.07364"
+ y="-103.69704"
+ id="text7551-6-6-4-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2-0-2"
+ x="288.07364"
+ y="-103.69704">Send</tspan><tspan
+ sodipodi:role="line"
+ x="288.07364"
+ y="-88.697037"
+ id="tspan7152">LP/full</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="423.07364"
+ y="-103.69704"
+ id="text7551-6-6-4-8-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2-0-2-7"
+ x="423.07364"
+ y="-103.69704">Send</tspan><tspan
+ sodipodi:role="line"
+ x="423.07364"
+ y="-88.697037"
+ id="tspan7152-6">HP/full</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="243.07364"
+ y="-103.69704"
+ id="text7551-6-6-4-8-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2-0-2-1"
+ x="243.07364"
+ y="-103.69704">Return</tspan><tspan
+ sodipodi:role="line"
+ x="243.07364"
+ y="-88.697037"
+ id="tspan7152-9">LP/full</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="375.07364"
+ y="-103.69704"
+ id="text7551-6-6-4-8-1-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2-0-2-7-8"
+ x="375.07364"
+ y="-103.69704">Return</tspan><tspan
+ sodipodi:role="line"
+ x="375.07364"
+ y="-88.697037"
+ id="tspan7152-6-9">HP/full</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="212.53033"
+ y="-238.74541"
+ id="text7551-6-6-4-8-0-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="212.53033"
+ y="-238.74541"
+ id="tspan7152-9-9">Blend</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="530.07367"
+ y="-103.74542"
+ id="text7551-6-6-4-8-1-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="530.07367"
+ y="-103.74542"
+ id="tspan7152-6-99">Send</tspan><tspan
+ sodipodi:role="line"
+ x="530.07367"
+ y="-88.745422"
+ id="tspan4199">A</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="486.07364"
+ y="-103.69704"
+ id="text7551-6-6-4-8-1-4-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="486.07364"
+ y="-103.69704"
+ id="tspan7152-6-99-0">Return</tspan><tspan
+ sodipodi:role="line"
+ x="486.07364"
+ y="-88.697037"
+ id="tspan4197">A</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="90.530327"
+ y="436.30295"
+ id="text5737-55-2-5-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan5739-8-5-5-5"
+ x="90.530327"
+ y="436.30295">Crossover (B)</tspan><tspan
+ sodipodi:role="line"
+ x="90.530327"
+ y="443.80295"
+ id="tspan5741-86-8-0-1">on / off</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="135.53033"
+ y="384.30295"
+ id="text5737-55-2-7-9-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="135.53033"
+ y="384.30295"
+ id="tspan5741-86-8-3-2-27">active</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="594.53033"
+ y="211.30296"
+ id="text5737-55-2-5-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="594.53033"
+ y="211.30296"
+ id="tspan5741-86-8-0-7">swap A/B</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:end;line-height:125%;writing-mode:lr-tb;text-anchor:end;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="216.74405"
+ y="184.30296"
+ id="text7551-6-6-4-1-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2-0-6-7"
+ x="216.74405"
+ y="184.30296"
+ style="font-family:Envy Code R;-inkscape-font-specification:Envy Code R">LP</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:end;line-height:125%;writing-mode:lr-tb;text-anchor:end;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="297.53033"
+ y="211.30296"
+ id="text7551-6-6-4-1-4-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan7553-6-2-0-6-7-9"
+ x="297.53033"
+ y="211.30296"
+ style="font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;font-family:Envy Code R;-inkscape-font-specification:Envy Code R">HP</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="684.53033"
+ y="22.302959"
+ id="text7551-6-6-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="684.53033"
+ y="22.302959"
+ id="tspan4163-6">Tuner</tspan><tspan
+ sodipodi:role="line"
+ x="684.53033"
+ y="37.302959"
+ id="tspan6360">Output</tspan></text>
+ <text
+ sodipodi:linespacing="125%"
+ id="text4574"
+ y="416.30295"
+ x="135.53033"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ xml:space="preserve"><tspan
+ id="tspan4576"
+ y="416.30295"
+ x="135.53033"
+ sodipodi:role="line">bypass</tspan><tspan
+ id="tspan4578"
+ y="423.80295"
+ x="135.53033"
+ sodipodi:role="line">TODO: add pulldown resistor!</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="504.53033"
+ y="-211.69704"
+ id="text7551-6-6-4-8-0-9-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="504.53033"
+ y="-211.69704"
+ id="tspan7152-9-9-4">Blend</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="18.530331"
+ y="-94.697037"
+ id="text7551-6-6-4-8-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="18.530331"
+ y="-94.697037"
+ id="tspan7152-3">A/Mono</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="63.530331"
+ y="-94.697037"
+ id="text7551-6-6-4-8-0-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="63.530331"
+ y="-94.697037"
+ id="tspan7152-9-1">B</tspan></text>
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -269.46967,229.30296 9,-9 9,9 54,0 0,0"
+ id="path5084"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -242.46967,220.30296 0,-9 27,0 0,-9"
+ id="path5086"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -197.46967,220.30296 0,18"
+ id="path5088"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -188.46967,220.30296 0,18"
+ id="path5090"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -188.46967,229.30296 18,0 27,-18 0,36 -27,-18"
+ id="path5092"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -143.46967,238.30296 9,0 0,27"
+ id="path5094"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -138.46967,265.30296 9,0"
+ id="path5096"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -179.46967,229.30296 0,-36 18,0"
+ id="path5098"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -143.46967,193.30296 9,0 0,27"
+ id="path5100"
+ inkscape:connector-curvature="0" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -143.46967,220.30296 36,0"
+ id="path5102"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -269.46967,310.30296 9,-9 9,9 54,0 180,0"
+ id="path5084-1"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -242.46967,301.30296 0,-9 27,0 0,-9 99,0"
+ id="path5086-7"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <rect
+ style="fill:#ebe1b8;fill-opacity:1"
+ id="rect5125"
+ width="18"
+ height="9"
+ x="-161.46967"
+ y="189.30296" />
+ <rect
+ style="fill:#ebe1b8;fill-opacity:1;display:inline;enable-background:new"
+ id="rect5125-4"
+ width="18"
+ height="9"
+ x="-107.46967"
+ y="216.30296" />
+ <rect
+ style="fill:#ebe1b8;fill-opacity:1;display:inline;enable-background:new"
+ id="rect5125-0"
+ width="18"
+ height="9"
+ x="-256.30295"
+ y="-120.46967"
+ transform="matrix(0,-1,1,0,0,0)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -116.46967,238.30296 0,-18"
+ id="path5102-9"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -116.46967,283.30296 0,-27"
+ id="path5102-9-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <rect
+ style="fill:#ebe1b8;fill-opacity:1;display:inline;enable-background:new"
+ id="rect5125-0-8"
+ width="18"
+ height="9"
+ x="-256.30295"
+ y="-102.46967"
+ transform="matrix(0,-1,1,0,0,0)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -116.46967,265.30296 9,0 0,-36 9,0 0,9"
+ id="path5086-8"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccccc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -102.46967,265.30296 9,0"
+ id="path5096-2"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -98.46967,256.30296 0,9"
+ id="path5096-4"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="-89.469673"
+ y="247.30296"
+ id="text5406-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan5408-5"
+ x="-89.469673"
+ y="247.30296">Pulldown</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="-278.46967"
+ y="202.30296"
+ id="text5406-5-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan5408-5-7"
+ x="-278.46967"
+ y="202.30296">A/Mono</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="-278.46967"
+ y="283.30295"
+ id="text5406-5-1-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ id="tspan5408-5-7-1"
+ x="-278.46967"
+ y="283.30295">B</tspan></text>
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -17.46967,220.30296 -72,0"
+ id="path5102-9-5"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="153.53033"
+ y="199.30296"
+ id="text5737-5-2-2-2-8-8-3-7-6-0"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="153.53033"
+ y="199.30296"
+ id="tspan4636-6-8">to A/Mono Out</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="135.46289"
+ y="112.39319"
+ id="text5737-5-2-2-2-8-8-3-7-6-0-1"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="135.46289"
+ y="112.39319"
+ id="tspan4636-6-8-5">from B jack switch</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="63.462891"
+ y="184.39319"
+ id="text5737-5-2-2-2-8-8-3-7-6-0-3"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="63.462891"
+ y="184.39319"
+ id="tspan4636-6-8-50">to B Out</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-44.469669"
+ y="-94.697037"
+ id="text7551-6-6-4-8-4-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-44.469669"
+ y="-94.697037"
+ id="tspan7152-3-4">DI</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="198.53033"
+ y="343.30295"
+ id="text5737-5-2-2-2-8-8-3-7-6-0-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="198.53033"
+ y="343.30295"
+ id="tspan12459">f = 1/ (2pi * RC)</tspan><tspan
+ sodipodi:role="line"
+ x="198.53033"
+ y="350.80295"
+ id="tspan12463"> R = 6.8k</tspan><tspan
+ sodipodi:role="line"
+ x="198.53033"
+ y="358.30295"
+ id="tspan12465"> C = 56nF</tspan><tspan
+ sodipodi:role="line"
+ x="198.53033"
+ y="365.80295"
+ id="tspan12467"> f ~ 417 Hz</tspan></text>
+ <text
+ sodipodi:linespacing="125%"
+ id="text4574-3-7"
+ y="220.39319"
+ x="198.46289"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ xml:space="preserve"><tspan
+ y="220.39319"
+ x="198.46289"
+ sodipodi:role="line"
+ id="tspan12638-4">TODO: LP / HP resistor</tspan><tspan
+ y="227.89319"
+ x="198.46289"
+ sodipodi:role="line"
+ id="tspan12666"> should allow more gain</tspan><tspan
+ y="235.39319"
+ x="198.46289"
+ sodipodi:role="line"
+ id="tspan12668"> as only one of the two</tspan><tspan
+ y="242.89319"
+ x="198.46289"
+ sodipodi:role="line"
+ id="tspan12670"> channels is used at one</tspan><tspan
+ y="250.39319"
+ x="198.46289"
+ sodipodi:role="line"
+ id="tspan12672"> time.</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="92.462891"
+ y="218.39319"
+ id="text5737-5-2-2-2-8-8-3-7-6-5-0-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="92.462891"
+ y="218.39319"
+ id="tspan4636-6-89-2-3">A</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:6px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="72.462891"
+ y="198.39319"
+ id="text5737-5-2-2-2-8-8-3-7-6-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="72.462891"
+ y="198.39319"
+ id="tspan4636-6-89">B</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:start;line-height:125%;writing-mode:lr-tb;text-anchor:start;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-395.53711"
+ y="-31.606813"
+ id="text7551-6-6-4-8-4-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-395.53711"
+ y="-31.606813"
+ id="tspan6168">connect circuit to ground when jack is connected</tspan><tspan
+ sodipodi:role="line"
+ x="-395.53711"
+ y="-16.606813"
+ id="tspan6174">disconnect battery when DC jack inserted</tspan></text>
+ <path
+ style="fill:none;stroke:#ff1818;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -90,336.61414 27,0"
+ id="path6176"
+ inkscape:path-effect="#path-effect6178"
+ inkscape:original-d="m -90,336.61414 27,0"
+ inkscape:connector-curvature="0"
+ transform="translate(-278.53711,-287.22095)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -90,354.61414 27,0"
+ id="path6180"
+ inkscape:path-effect="#path-effect6182"
+ inkscape:original-d="m -90,354.61414 27,0"
+ inkscape:connector-curvature="0"
+ transform="translate(-278.53711,-287.22095)" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -72,354.61414 0,18 9,0"
+ id="path6184"
+ inkscape:path-effect="#path-effect6186"
+ inkscape:original-d="m -72,354.61414 0,18 9,0"
+ inkscape:connector-curvature="0"
+ transform="translate(-278.53711,-287.22095)" />
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-377.53711"
+ y="94.393188"
+ id="text7551-6-6-4-8-4-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-377.53711"
+ y="94.393188"
+ id="tspan7152-3-6"
+ style="text-align:start;text-anchor:start">NC switch</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-377.53711"
+ y="49.393185"
+ id="text7551-6-6-4-8-4-6-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-377.53711"
+ y="49.393185"
+ id="tspan7152-3-6-0"
+ style="text-align:start;text-anchor:start">+</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-377.53711"
+ y="67.393188"
+ id="text7551-6-6-4-8-4-6-5-4"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-377.53711"
+ y="67.393188"
+ id="tspan7152-3-6-0-8"
+ style="text-align:start;text-anchor:start">-</tspan></text>
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m -54,372.61414 36,0 0,54"
+ id="path6239"
+ inkscape:path-effect="#path-effect6241"
+ inkscape:original-d="m -54,372.61414 36,0 0,54"
+ inkscape:connector-curvature="0"
+ transform="translate(-278.53711,-287.22095)" />
+ <path
+ style="fill:none;stroke:#ff1818;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -278.53711,139.39319 0,-90.000004 -54,0"
+ id="path6176-3"
+ inkscape:path-effect="#path-effect6178-9"
+ inkscape:original-d="m -278.53711,139.39319 0,-90.000004 -54,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="ccc" />
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-305.53711"
+ y="148.39319"
+ id="text7551-6-6-4-8-4-6-2"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-305.53711"
+ y="148.39319"
+ id="tspan7152-3-6-8"
+ style="text-align:start;text-anchor:start">battery</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-170.53711"
+ y="85.393188"
+ id="text7551-6-6-4-8-4-6-2-8"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-170.53711"
+ y="85.393188"
+ id="tspan7152-3-6-8-6"
+ style="text-align:start;text-anchor:start">tip (signal)</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-170.53711"
+ y="71.393188"
+ id="text7551-6-6-4-8-4-6-2-8-7"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-170.53711"
+ y="71.393188"
+ id="tspan7152-3-6-8-6-5"
+ style="text-align:start;text-anchor:start">ring (switch GND)</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-170.53711"
+ y="60.393185"
+ id="text7551-6-6-4-8-4-6-2-8-7-5"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-170.53711"
+ y="60.393185"
+ id="tspan7152-3-6-8-6-5-4"
+ style="text-align:start;text-anchor:start">sleeve (GND, enclosure)</tspan></text>
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ d="m 9,300.61414 0,54 54,0"
+ id="path7188"
+ inkscape:path-effect="#path-effect7190"
+ inkscape:original-d="m 9,300.61414 0,54 c 9,0 124.9762,49.34701 54,0"
+ inkscape:connector-curvature="0"
+ transform="translate(-278.53711,-287.22095)"
+ sodipodi:nodetypes="ccc" />
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-296.53711"
+ y="4.3931856"
+ id="text7551-6-6-4-8-4-6-2-6"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-296.53711"
+ y="4.3931856"
+ style="text-align:start;text-anchor:start"
+ id="tspan7236">circuit</tspan></text>
+ <path
+ style="fill:none;stroke:#ff1818;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -278.53711,49.393186 0,-36"
+ id="path6176-31"
+ inkscape:path-effect="#path-effect6178-0"
+ inkscape:original-d="m -278.53711,49.393186 0,-36"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cc" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;display:inline;enable-background:new"
+ d="m -377.53711,67.393186 -9,0 0,-72.0000003 144,0 0,63.0000003 27,0"
+ id="path6239-6"
+ inkscape:path-effect="#path-effect6241-4"
+ inkscape:original-d="m -377.53711,67.393186 -9,0 0,-72.0000003 144,0 c -5.25,21.7500003 9,45.0000003 0,63.0000003 l 27,0"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccccc" />
+ <text
+ xml:space="preserve"
+ style="font-size:12px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;text-align:center;line-height:125%;writing-mode:lr-tb;text-anchor:middle;fill:#000000;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Inconsolata;-inkscape-font-specification:Inconsolata"
+ x="-377.53711"
+ y="40.393185"
+ id="text7551-6-6-4-8-4-6-2-69"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="-377.53711"
+ y="40.393185"
+ id="tspan7152-3-6-8-1"
+ style="text-align:start;text-anchor:start">DC jack</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:72px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ff00ff;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="117.46289"
+ y="-13.606814"
+ id="text4789-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="117.46289"
+ y="-13.606814"
+ style="font-size:12px;fill:#ff00ff"
+ id="tspan7934">this is broken.</tspan><tspan
+ sodipodi:role="line"
+ x="117.46289"
+ y="1.3931856"
+ style="font-size:12px;fill:#ff00ff"
+ id="tspan7977">rectifies the signal sometimes.</tspan><tspan
+ sodipodi:role="line"
+ x="117.46289"
+ y="16.393185"
+ style="font-size:12px;fill:#ff00ff"
+ id="tspan7979">maybe add pulldown?</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:72px;font-style:normal;font-variant:normal;font-weight:normal;font-stretch:normal;line-height:125%;letter-spacing:0px;word-spacing:0px;fill:#ff00ff;fill-opacity:1;stroke:none;display:inline;enable-background:new;font-family:Envy Code R;-inkscape-font-specification:Envy Code R"
+ x="531.46289"
+ y="166.39319"
+ id="text4789-9-9"
+ sodipodi:linespacing="125%"><tspan
+ sodipodi:role="line"
+ x="531.46289"
+ y="166.39319"
+ style="font-size:12px;fill:#ff00ff"
+ id="tspan7962">higher</tspan><tspan
+ sodipodi:role="line"
+ x="531.46289"
+ y="181.39319"
+ style="font-size:12px;fill:#ff00ff"
+ id="tspan7973">resistor</tspan></text>
+ <path
+ style="opacity:0.33000004;fill:#000000;fill-opacity:1;stroke:#f53ca0;stroke-width:0.02;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dashoffset:0"
+ inkscape:transform-center-x="2.4703312"
+ inkscape:transform-center-y="-1.1969499"
+ d="m 208.34821,7.3505647 22.56394,14.8279703 4.94266,-7.521313 5.15731,24.927938 -24.92795,5.157308 4.94266,-7.521313 -22.56394,-14.827969 z"
+ id="path7964"
+ inkscape:connector-curvature="0"
+ sodipodi:nodetypes="cccccccc" />
+ </g>
+ <style
+ id="style3878"
+ type="text/css">
+
+ .st1 {fill:none;stroke:#969696;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.24}
+ .st2 {stroke:#969696;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.24}
+ .st3 {stroke:#3366ff;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.24}
+ .st4 {stroke:#0000ff;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.24}
+ .st5 {stroke:#ffcc00;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.24}
+ .st6 {fill:none;stroke:#0000ff;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.24}
+ .st7 {fill:none;stroke:none;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.72}
+ .st8 {fill:#000000;font-family:Consolas;font-size:0.499992em}
+ .st9 {stroke:#ff0000;stroke-linecap:round;stroke-linejoin:round;stroke-width:0.24}
+ .st10 {fill:none;fill-rule:evenodd;font-size:12;overflow:visible;stroke-linecap:square;stroke-miterlimit:3}
+
+ </style>
+</svg>
diff --git a/gschem/.gitignore b/gschem/.gitignore
new file mode 100644
index 0000000..f49708b
--- /dev/null
+++ b/gschem/.gitignore
@@ -0,0 +1 @@
+*.sch~
diff --git a/gschem/crossover.sch b/gschem/crossover.sch
new file mode 100644
index 0000000..8357519
--- /dev/null
+++ b/gschem/crossover.sch
@@ -0,0 +1,610 @@
+v 20110115 2
+C 50400 45200 1 0 0 input-2.sym
+{
+T 50400 45400 5 10 1 0 0 0 1
+net=BYPASS:1
+T 51000 45900 5 10 0 0 0 0 1
+device=none
+T 50900 45300 5 10 1 1 0 7 1
+value=BYPASS
+}
+C 40500 37300 1 0 0 input-2.sym
+{
+T 40500 37500 5 10 1 0 0 0 1
+net=XOVER:1
+T 41100 38000 5 10 0 0 0 0 1
+device=none
+T 41000 37400 5 10 1 1 0 7 1
+value=XOVER
+}
+C 48200 41900 1 180 0 dual-opamp-1.sym
+{
+T 48000 39600 5 10 0 0 180 0 1
+device=DUAL_OPAMP
+T 48100 41000 5 10 1 1 180 0 1
+refdes=IC1
+T 48000 40000 5 10 0 0 180 0 1
+footprint=SO8
+T 48000 39400 5 10 0 0 180 0 1
+symversion=0.2
+T 48200 41900 5 10 0 0 180 0 1
+slot=1
+}
+C 48200 37800 1 180 0 dual-opamp-1.sym
+{
+T 48000 35500 5 10 0 0 180 0 1
+device=DUAL_OPAMP
+T 48100 36900 5 10 1 1 180 0 1
+refdes=IC1
+T 48000 35900 5 10 0 0 180 0 1
+footprint=SO8
+T 48000 35300 5 10 0 0 180 0 1
+symversion=0.2
+T 48200 37800 5 10 0 0 180 0 1
+slot=2
+}
+C 54500 46700 1 0 0 dual-opamp-1.sym
+{
+T 54700 49000 5 10 0 0 0 0 1
+device=DUAL_OPAMP
+T 55200 46800 5 10 1 1 0 0 1
+refdes=IC2
+T 54700 48600 5 10 0 0 0 0 1
+footprint=SO8
+T 54700 49200 5 10 0 0 0 0 1
+symversion=0.2
+T 54500 46700 5 10 0 0 0 0 1
+slot=1
+}
+C 54500 42800 1 0 0 dual-opamp-1.sym
+{
+T 54700 45100 5 10 0 0 0 0 1
+device=DUAL_OPAMP
+T 55200 42900 5 10 1 1 0 0 1
+refdes=IC2
+T 54700 44700 5 10 0 0 0 0 1
+footprint=SO8
+T 54700 45300 5 10 0 0 0 0 1
+symversion=0.2
+T 54500 42800 5 10 0 0 0 0 1
+slot=2
+}
+C 43800 39900 1 180 0 capacitor-1.sym
+{
+T 43600 39200 5 10 0 0 180 0 1
+device=CAPACITOR
+T 43500 39400 5 10 1 1 180 0 1
+refdes=C401
+T 43600 39000 5 10 0 0 180 0 1
+symversion=0.1
+T 43200 40000 5 10 1 1 0 0 1
+value=56n
+}
+C 44400 40200 1 90 0 capacitor-1.sym
+{
+T 43700 40400 5 10 0 0 90 0 1
+device=CAPACITOR
+T 44000 41000 5 10 1 1 180 0 1
+refdes=C402
+T 43500 40400 5 10 0 0 90 0 1
+symversion=0.1
+T 44300 40800 5 10 1 1 0 0 1
+value=56n
+}
+C 47700 43400 1 180 0 capacitor-1.sym
+{
+T 47500 42700 5 10 0 0 180 0 1
+device=CAPACITOR
+T 47100 43500 5 10 1 1 180 0 1
+refdes=C403
+T 47500 42500 5 10 0 0 180 0 1
+symversion=0.1
+T 47400 43300 5 10 1 1 0 0 1
+value=56n
+}
+C 45500 37600 1 180 0 capacitor-1.sym
+{
+T 45300 36900 5 10 0 0 180 0 1
+device=CAPACITOR
+T 45200 37100 5 10 1 1 180 0 1
+refdes=C404
+T 45300 36700 5 10 0 0 180 0 1
+symversion=0.1
+T 44900 37700 5 10 1 1 0 0 1
+value=56n
+}
+C 45500 35800 1 180 0 capacitor-1.sym
+{
+T 45300 35100 5 10 0 0 180 0 1
+device=CAPACITOR
+T 45200 35300 5 10 1 1 180 0 1
+refdes=C405
+T 45300 34900 5 10 0 0 180 0 1
+symversion=0.1
+T 44900 35900 5 10 1 1 0 0 1
+value=56n
+}
+C 49800 37800 1 180 0 capacitor-1.sym
+{
+T 49600 37100 5 10 0 0 180 0 1
+device=CAPACITOR
+T 49200 37900 5 10 1 1 180 0 1
+refdes=C406
+T 49600 36900 5 10 0 0 180 0 1
+symversion=0.1
+T 49500 37700 5 10 1 1 0 0 1
+value=56n
+}
+C 44600 39600 1 0 0 resistor-2.sym
+{
+T 45000 39950 5 10 0 0 0 0 1
+device=RESISTOR
+T 44900 39900 5 10 1 1 0 0 1
+refdes=R405
+T 44900 39400 5 10 1 1 0 0 1
+value=6k8
+}
+C 46800 38500 1 0 0 resistor-2.sym
+{
+T 47200 38850 5 10 0 0 0 0 1
+device=RESISTOR
+T 47000 38800 5 10 1 1 0 0 1
+refdes=R406
+T 47000 38300 5 10 1 1 0 0 1
+value=6k8
+}
+C 44600 41400 1 0 0 resistor-2.sym
+{
+T 45000 41750 5 10 0 0 0 0 1
+device=RESISTOR
+T 44900 41700 5 10 1 1 0 0 1
+refdes=R407
+T 44900 41200 5 10 1 1 0 0 1
+value=6k8
+}
+C 48900 41600 1 0 0 resistor-2.sym
+{
+T 49300 41950 5 10 0 0 0 0 1
+device=RESISTOR
+T 49000 41900 5 10 1 1 0 0 1
+refdes=R408
+T 49400 41900 5 10 1 1 0 0 1
+value=6k8
+}
+C 48900 41200 1 0 0 resistor-2.sym
+{
+T 49300 41550 5 10 0 0 0 0 1
+device=RESISTOR
+T 49000 41000 5 10 1 1 0 0 1
+refdes=R409
+T 49400 41000 5 10 1 1 0 0 1
+value=10k
+}
+C 48900 37100 1 0 0 resistor-2.sym
+{
+T 49300 37450 5 10 0 0 0 0 1
+device=RESISTOR
+T 49000 36900 5 10 1 1 0 0 1
+refdes=R410
+T 49500 36900 5 10 1 1 0 0 1
+value=10k
+}
+C 46800 40100 1 0 0 resistor-2.sym
+{
+T 47200 40450 5 10 0 0 0 0 1
+device=RESISTOR
+T 47000 40400 5 10 1 1 0 0 1
+refdes=R411
+T 47000 39900 5 10 1 1 0 0 1
+value=10k
+}
+C 46800 36000 1 0 0 resistor-2.sym
+{
+T 47200 36350 5 10 0 0 0 0 1
+device=RESISTOR
+T 47100 36300 5 10 1 1 0 0 1
+refdes=R412
+T 47100 35800 5 10 1 1 0 0 1
+value=10k
+}
+C 44300 36200 1 90 0 resistor-2.sym
+{
+T 43950 36600 5 10 0 0 90 0 1
+device=RESISTOR
+T 44000 36900 5 10 1 1 180 0 1
+refdes=R413
+T 43700 36500 5 10 1 1 0 0 1
+value=6k8
+}
+C 43800 35700 1 180 0 resistor-2.sym
+{
+T 43400 35350 5 10 0 0 180 0 1
+device=RESISTOR
+T 43500 35400 5 10 1 1 180 0 1
+refdes=R414
+T 43200 35800 5 10 1 1 0 0 1
+value=6k8
+}
+C 42700 33800 1 90 0 resistor-2.sym
+{
+T 42350 34200 5 10 0 0 90 0 1
+device=RESISTOR
+T 43100 34400 5 10 1 1 180 0 1
+refdes=R415
+T 42800 34000 5 10 1 1 0 0 1
+value=10k
+}
+C 53400 47400 1 180 0 resistor-2.sym
+{
+T 53000 47050 5 10 0 0 180 0 1
+device=RESISTOR
+T 53100 47600 5 10 1 1 180 0 1
+refdes=R416
+T 53300 47500 5 10 1 1 0 0 1
+value=100k
+}
+C 53400 47000 1 180 0 resistor-2.sym
+{
+T 53000 46650 5 10 0 0 180 0 1
+device=RESISTOR
+T 53100 46700 5 10 1 1 180 0 1
+refdes=R417
+T 53300 47000 5 10 1 1 0 0 1
+value=100k
+}
+C 53400 43500 1 180 0 resistor-2.sym
+{
+T 53000 43150 5 10 0 0 180 0 1
+device=RESISTOR
+T 53100 43700 5 10 1 1 180 0 1
+refdes=R418
+T 53300 43500 5 10 1 1 0 0 1
+value=100k
+}
+C 53400 43100 1 180 0 resistor-2.sym
+{
+T 53000 42750 5 10 0 0 180 0 1
+device=RESISTOR
+T 53100 42800 5 10 1 1 180 0 1
+refdes=R419
+T 53300 43100 5 10 1 1 0 0 1
+value=100k
+}
+C 56100 48600 1 180 0 resistor-2.sym
+{
+T 55700 48250 5 10 0 0 180 0 1
+device=RESISTOR
+T 55800 48300 5 10 1 1 180 0 1
+refdes=R420
+T 55500 48700 5 10 1 1 0 0 1
+value=150k
+}
+C 56100 44600 1 180 0 resistor-2.sym
+{
+T 55700 44250 5 10 0 0 180 0 1
+device=RESISTOR
+T 55800 44300 5 10 1 1 180 0 1
+refdes=R421
+T 55500 44700 5 10 1 1 0 0 1
+value=150k
+}
+N 43800 39700 44600 39700 4
+N 46200 41500 46200 40200 4
+N 46200 40200 46800 40200 4
+N 48900 41300 48200 41300 4
+N 48900 41700 48200 41700 4
+N 48600 41300 48600 40200 4
+N 48600 40200 47700 40200 4
+N 45500 41500 47200 41500 4
+N 44200 41100 44200 43200 4
+N 44200 41500 44600 41500 4
+N 49800 41700 50100 41700 4
+N 50100 39400 50100 41700 4
+N 49800 41300 50100 41300 4
+N 45500 39700 50100 39700 4
+N 47700 43200 48600 43200 4
+N 48600 43200 48600 41700 4
+N 46800 43200 44200 43200 4
+C 47500 42100 1 0 0 vcc-2.sym
+C 47400 40300 1 0 0 vcc-minus-1.sym
+N 47700 40900 47700 41100 4
+N 47700 42100 47700 41900 4
+C 46600 41800 1 0 0 capacitor-1.sym
+{
+T 46800 42500 5 10 0 0 0 0 1
+device=CAPACITOR
+T 46700 42200 5 10 1 1 0 0 1
+refdes=C407
+T 46800 42700 5 10 0 0 0 0 1
+symversion=0.1
+T 47100 42200 5 10 1 1 0 0 1
+value=100n
+}
+N 47500 42000 47700 42000 4
+N 46600 42000 46500 42000 4
+N 46500 42000 46500 41000 4
+N 46500 41000 47700 41000 4
+C 50000 39100 1 0 0 gnd-1.sym
+C 42500 33100 1 0 0 gnd-1.sym
+N 42600 33400 42600 33800 4
+C 39300 32600 0 0 0 title-A2.sym
+N 44200 36200 44200 35600 4
+N 44200 37100 44200 38600 4
+N 44200 37400 44600 37400 4
+N 43800 35600 44600 35600 4
+N 47200 37400 45500 37400 4
+C 47400 36400 1 0 0 vcc-minus-1.sym
+C 47500 37800 1 0 0 vcc-2.sym
+N 45500 35600 50100 35600 4
+C 50000 35000 1 0 0 gnd-1.sym
+N 50100 35300 50100 37600 4
+N 48900 37600 48200 37600 4
+N 48900 37200 48200 37200 4
+N 48600 37200 48600 36100 4
+N 48600 36100 47700 36100 4
+N 44200 38600 46800 38600 4
+N 47700 38600 48600 38600 4
+N 48600 38600 48600 37600 4
+N 49800 37600 50100 37600 4
+N 49800 37200 50100 37200 4
+N 46800 36100 46200 36100 4
+N 46200 36100 46200 37400 4
+N 44200 40200 44200 39700 4
+N 42900 39700 42600 39700 4
+N 42600 34700 42600 39700 4
+N 42600 35600 42900 35600 4
+N 41900 37400 42600 37400 4
+C 44600 38100 1 0 0 input-2.sym
+{
+T 44600 38300 5 10 1 0 0 0 1
+net=XOVER:OUT:1
+T 45200 38800 5 10 0 0 0 0 1
+device=none
+T 45100 38200 5 10 1 1 0 7 1
+value=LOW
+}
+C 44600 42700 1 0 0 input-2.sym
+{
+T 44600 42900 5 10 1 0 0 0 1
+net=XOVER:OUT:2
+T 45200 43400 5 10 0 0 0 0 1
+device=none
+T 45100 42800 5 10 1 1 0 7 1
+value=HIGH
+}
+N 46000 42800 46200 42800 4
+N 46200 42800 46200 41500 4
+N 46000 38200 46200 38200 4
+N 46200 38200 46200 37400 4
+N 55500 47100 56700 47100 4
+N 55500 43200 56700 43200 4
+N 53400 47300 54500 47300 4
+N 53400 46900 53900 46900 4
+N 53900 46900 53900 47300 4
+N 53900 47300 53900 48500 4
+N 56400 47100 56400 48500 4
+N 53400 43400 54500 43400 4
+N 53400 43000 53900 43000 4
+N 53900 43000 53900 43400 4
+N 53900 43400 53900 44500 4
+N 53900 44500 55200 44500 4
+C 50400 46800 1 0 0 input-2.sym
+{
+T 50400 47000 5 10 1 0 0 0 1
+net=XOVER:OUT:2
+T 51000 47500 5 10 0 0 0 0 1
+device=none
+T 50900 46900 5 10 1 1 0 7 1
+value=HIGH
+}
+N 51800 46900 52500 46900 4
+C 50400 42900 1 0 0 input-2.sym
+{
+T 50400 43100 5 10 1 0 0 0 1
+net=XOVER:OUT:1
+T 51000 43600 5 10 0 0 0 0 1
+device=none
+T 50900 43000 5 10 1 1 0 7 1
+value=LOW
+}
+N 51800 43000 52500 43000 4
+N 52500 47300 52200 47300 4
+N 52200 43400 52200 47300 4
+N 52200 43400 52500 43400 4
+N 51800 45300 52200 45300 4
+C 54800 43800 1 0 0 vcc-2.sym
+C 54800 47700 1 0 0 vcc-2.sym
+C 54700 42000 1 0 0 vcc-minus-1.sym
+C 54700 45900 1 0 0 vcc-minus-1.sym
+N 55000 47700 55000 47500 4
+N 55000 46500 55000 46700 4
+C 55200 47400 1 0 0 capacitor-1.sym
+{
+T 55400 48100 5 10 0 0 0 0 1
+device=CAPACITOR
+T 55400 47900 5 10 1 1 0 0 1
+refdes=C408
+T 55400 48300 5 10 0 0 0 0 1
+symversion=0.1
+T 55200 47400 5 10 1 1 0 0 1
+value=100n
+}
+N 55000 47600 55200 47600 4
+N 56100 47600 56200 47600 4
+N 56200 47600 56200 46600 4
+N 56200 46600 55000 46600 4
+N 53900 48500 55200 48500 4
+N 56400 48500 56100 48500 4
+N 56100 44500 56400 44500 4
+N 56400 44500 56400 43200 4
+C 54100 42200 1 0 0 gnd-1.sym
+C 54100 46100 1 0 0 gnd-1.sym
+N 54500 46900 54200 46900 4
+N 54200 46900 54200 46400 4
+N 54500 43000 54200 43000 4
+N 54200 43000 54200 42500 4
+N 55000 42600 55000 42800 4
+N 55000 43800 55000 43600 4
+T 42900 33500 9 10 1 0 0 0 1
+maybe reduce to 1k6 ~ 2k
+T 55800 33400 9 16 1 0 0 0 1
+Crossover and Bypass
+T 46000 34600 9 10 1 0 0 0 1
+f = 1 / (2pi * RC) =~ 417Hz
+C 58200 42500 1 270 0 resistor-2.sym
+{
+T 58550 42100 5 10 0 0 270 0 1
+device=RESISTOR
+T 58500 42000 5 10 1 1 0 0 1
+refdes=R4
+T 58500 41800 5 10 1 1 0 0 1
+value=22k
+}
+N 58300 42700 58300 42500 4
+C 58700 40600 1 0 0 vcc-minus-1.sym
+C 58000 40600 1 0 0 vcc-minus-1.sym
+N 58300 41200 58300 41600 4
+C 58800 43300 1 180 0 resistor-variable-2.sym
+{
+T 58450 43800 5 10 1 1 180 0 1
+refdes=R3
+T 58000 42400 5 10 0 1 180 0 1
+device=VARIABLE_RESISTOR
+T 58100 43400 5 10 1 1 0 0 1
+value=100k?
+}
+N 58800 43200 59000 43200 4
+N 59000 43200 59000 41200 4
+N 58300 42600 59400 42600 4
+T 58500 41500 9 10 1 0 0 0 1
+taper resistor
+C 60800 42700 1 180 0 input-2.sym
+{
+T 61100 42400 5 10 1 0 180 0 1
+net=SEND:LOW:1
+T 60200 42000 5 10 0 0 180 0 1
+device=none
+T 60300 42600 5 10 1 1 180 7 1
+value=Send LOW / Full
+}
+C 58200 46400 1 270 0 resistor-2.sym
+{
+T 58550 46000 5 10 0 0 270 0 1
+device=RESISTOR
+T 58500 45900 5 10 1 1 0 0 1
+refdes=R4
+T 58500 45700 5 10 1 1 0 0 1
+value=22k
+}
+N 58300 46600 58300 46400 4
+C 58700 44500 1 0 0 vcc-minus-1.sym
+C 58000 44500 1 0 0 vcc-minus-1.sym
+N 58300 45100 58300 45500 4
+C 58800 47200 1 180 0 resistor-variable-2.sym
+{
+T 58450 47700 5 10 1 1 180 0 1
+refdes=R3
+T 58000 46300 5 10 0 1 180 0 1
+device=VARIABLE_RESISTOR
+T 58100 47300 5 10 1 1 0 0 1
+value=100k?
+}
+N 58800 47100 59000 47100 4
+N 59000 47100 59000 45100 4
+N 58300 46500 59400 46500 4
+C 60800 46600 1 180 0 input-2.sym
+{
+T 61200 46300 5 10 1 0 180 0 1
+net=SEND:HIGH:1
+T 60200 45900 5 10 0 0 180 0 1
+device=none
+T 60300 46500 5 10 1 1 180 7 1
+value=Send HIGH / Full
+}
+T 58500 45400 9 10 1 0 0 0 1
+taper resistor
+C 56700 43000 1 0 0 capacitor-4.sym
+{
+T 56900 44100 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 56900 43500 5 10 1 1 0 0 1
+refdes=C409
+T 56900 43700 5 10 0 0 0 0 1
+symversion=0.1
+T 56700 43000 5 10 1 1 0 0 1
+value=1u
+}
+N 57600 43200 57900 43200 4
+C 56700 46900 1 0 0 capacitor-4.sym
+{
+T 56900 48000 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 56900 47400 5 10 1 1 0 0 1
+refdes=C410
+T 56900 47600 5 10 0 0 0 0 1
+symversion=0.1
+T 56700 46900 5 10 1 1 0 0 1
+value=1u
+}
+N 57600 47100 57900 47100 4
+C 53400 45400 1 180 0 resistor-2.sym
+{
+T 53000 45050 5 10 0 0 180 0 1
+device=RESISTOR
+T 53100 45100 5 10 1 1 180 0 1
+refdes=R422
+T 53300 45400 5 10 1 1 0 0 1
+value=100k
+}
+C 53600 44700 1 0 0 gnd-1.sym
+N 53400 45300 53700 45300 4
+N 53700 45300 53700 45000 4
+N 52500 45300 52200 45300 4
+T 59100 43800 9 10 1 0 0 0 2
+when cross-over is disabled, the two
+send outputs each carry the full signal.
+C 41400 46500 1 0 0 input-2.sym
+{
+T 41300 46200 5 10 1 0 0 0 1
+net=PREAMP:2
+T 42000 47200 5 10 0 0 0 0 1
+device=none
+T 41900 46600 5 10 1 1 0 7 1
+value=BASS
+}
+C 46500 47200 1 180 0 input-2.sym
+{
+T 46500 47000 5 10 1 0 180 0 1
+net=BYPASS:1
+T 45900 46500 5 10 0 0 180 0 1
+device=none
+T 46000 47100 5 10 1 1 180 7 1
+value=BYPASS
+}
+C 46500 46200 1 180 0 input-2.sym
+{
+T 46500 46000 5 10 1 0 180 0 1
+net=XOVER:1
+T 45900 45500 5 10 0 0 180 0 1
+device=none
+T 46000 46100 5 10 1 1 180 7 1
+value=XOVER
+}
+N 44600 47100 45100 47100 4
+C 43200 46400 1 0 0 switch-spdt-1.sym
+{
+T 43600 47200 5 10 0 0 0 0 1
+device=SPDT
+T 43600 47000 5 10 1 1 0 0 1
+refdes=S401
+}
+N 43200 46600 42800 46600 4
+T 42100 47700 9 10 1 0 0 0 1
+Stomp switch to (dis)engage the crossover
+N 44600 47100 44600 46800 4
+N 44600 46800 44100 46800 4
+N 45100 46100 44600 46100 4
+N 44600 46100 44600 46400 4
+N 44600 46400 44100 46400 4
+T 54300 38200 9 10 1 0 0 0 1
+TODO: add blend pots and off-board wiring
diff --git a/gschem/outputs.sch b/gschem/outputs.sch
new file mode 100644
index 0000000..2d953ce
--- /dev/null
+++ b/gschem/outputs.sch
@@ -0,0 +1,464 @@
+v 20110115 2
+C 44900 38500 0 0 0 title-A2.sym
+C 52600 42200 1 0 0 dual-opamp-1.sym
+{
+T 52800 44500 5 10 0 0 0 0 1
+device=DUAL_OPAMP
+T 53300 42300 5 10 1 1 0 0 1
+refdes=IC2
+T 52800 44100 5 10 0 0 0 0 1
+footprint=SO8
+T 52800 44700 5 10 0 0 0 0 1
+symversion=0.2
+T 52600 42200 5 10 0 0 0 0 1
+slot=2
+}
+C 52600 49800 1 0 0 dual-opamp-1.sym
+{
+T 52800 52100 5 10 0 0 0 0 1
+device=DUAL_OPAMP
+T 53300 49900 5 10 1 1 0 0 1
+refdes=IC2
+T 52800 51700 5 10 0 0 0 0 1
+footprint=SO8
+T 52800 52300 5 10 0 0 0 0 1
+symversion=0.2
+T 52600 49800 5 10 0 0 0 0 1
+slot=1
+}
+C 53300 50500 1 0 0 capacitor-1.sym
+{
+T 53500 51200 5 10 0 0 0 0 1
+device=CAPACITOR
+T 53500 51000 5 10 1 1 0 0 1
+refdes=C3
+T 53500 51400 5 10 0 0 0 0 1
+symversion=0.1
+T 53300 50500 5 10 1 1 0 0 1
+value=100n
+}
+C 52900 50800 1 0 0 vcc-2.sym
+C 52800 49000 1 0 0 vcc-minus-1.sym
+C 52200 49200 1 0 0 gnd-1.sym
+C 47000 50700 1 0 0 input-2.sym
+{
+T 47000 50900 5 10 1 0 0 0 1
+net=BLEND:2
+T 47600 51400 5 10 0 0 0 0 1
+device=none
+T 47500 50800 5 10 1 1 0 7 1
+value=BLEND B
+}
+C 47000 49900 1 0 0 input-2.sym
+{
+T 47000 50100 5 10 1 0 0 0 1
+net=BLEND:1
+T 47600 50600 5 10 0 0 0 0 1
+device=none
+T 47500 50000 5 10 1 1 0 7 1
+value=BLEND A
+}
+C 56400 49500 1 270 0 resistor-2.sym
+{
+T 56750 49100 5 10 0 0 270 0 1
+device=RESISTOR
+T 56700 49000 5 10 1 1 0 0 1
+refdes=R4
+T 56700 48800 5 10 1 1 0 0 1
+value=22k
+}
+N 56500 49700 56500 49500 4
+C 56900 47600 1 0 0 vcc-minus-1.sym
+C 56200 47600 1 0 0 vcc-minus-1.sym
+N 56500 48200 56500 48600 4
+C 57000 50300 1 180 0 resistor-variable-2.sym
+{
+T 56650 50800 5 10 1 1 180 0 1
+refdes=R3
+T 56200 49400 5 10 0 1 180 0 1
+device=VARIABLE_RESISTOR
+T 56300 50400 5 10 1 1 0 0 1
+value=100k?
+}
+N 57000 50200 57200 50200 4
+N 57200 50200 57200 48200 4
+N 56500 49600 59100 49600 4
+C 54900 50000 1 0 0 capacitor-4.sym
+{
+T 55100 51100 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 55100 50500 5 10 1 1 0 0 1
+refdes=C4
+T 55100 50700 5 10 0 0 0 0 1
+symversion=0.1
+T 54900 50000 5 10 1 1 0 0 1
+value=1u
+}
+T 56700 48500 9 10 1 0 0 0 1
+taper resistor
+C 48800 50600 1 0 0 capacitor-4.sym
+{
+T 49000 51700 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 49000 51100 5 10 1 1 0 0 1
+refdes=C1
+T 49000 51300 5 10 0 0 0 0 1
+symversion=0.1
+T 48800 50600 5 10 1 1 0 0 1
+value=1u
+}
+N 48800 50800 48400 50800 4
+C 48800 49800 1 0 0 capacitor-4.sym
+{
+T 49000 50900 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 49000 50300 5 10 1 1 0 0 1
+refdes=C2
+T 49000 50500 5 10 0 0 0 0 1
+symversion=0.1
+T 48800 49800 5 10 1 1 0 0 1
+value=1u
+}
+N 48800 50000 48400 50000 4
+N 53100 50600 53100 50800 4
+N 53100 50700 53300 50700 4
+N 53100 49600 53100 49800 4
+N 52600 50000 52300 50000 4
+N 52300 50000 52300 49500 4
+C 52800 41400 1 0 0 vcc-minus-1.sym
+C 52900 43200 1 0 0 vcc-2.sym
+N 53100 43200 53100 43000 4
+N 53100 42000 53100 42200 4
+C 52200 41600 1 0 0 gnd-1.sym
+N 52300 42400 52300 41900 4
+N 52600 42400 52300 42400 4
+N 53100 49700 54600 49700 4
+N 54600 49700 54600 50700 4
+N 54600 50700 54200 50700 4
+C 51000 50900 1 180 0 resistor-2.sym
+{
+T 50600 50550 5 10 0 0 180 0 1
+device=RESISTOR
+T 50700 51100 5 10 1 1 180 0 1
+refdes=R1
+T 50900 50900 5 10 1 1 0 0 1
+value=100k
+}
+C 51000 50100 1 180 0 resistor-2.sym
+{
+T 50600 49750 5 10 0 0 180 0 1
+device=RESISTOR
+T 50700 49800 5 10 1 1 180 0 1
+refdes=R2
+T 50900 50100 5 10 1 1 0 0 1
+value=100k
+}
+N 50100 50800 49700 50800 4
+N 50100 50000 49700 50000 4
+N 51000 50800 51600 50800 4
+N 51600 50000 51600 50800 4
+N 51600 50000 51000 50000 4
+N 51600 50400 52600 50400 4
+C 53800 51900 1 180 0 resistor-2.sym
+{
+T 53400 51550 5 10 0 0 180 0 1
+device=RESISTOR
+T 53500 52100 5 10 1 1 180 0 1
+refdes=R5
+T 53700 51900 5 10 1 1 0 0 1
+value=100k
+}
+N 52900 51800 52300 51800 4
+N 52300 51800 52300 50400 4
+N 53800 51800 54300 51800 4
+N 54300 51800 54300 50200 4
+N 53600 50200 54900 50200 4
+T 53600 52200 9 10 1 0 0 0 1
+lower to ~2k?
+N 49900 43200 49900 50000 4
+N 49900 43200 50100 43200 4
+C 51000 43300 1 180 0 resistor-2.sym
+{
+T 50600 42950 5 10 0 0 180 0 1
+device=RESISTOR
+T 50700 43000 5 10 1 1 180 0 1
+refdes=R6
+T 50900 43300 5 10 1 1 0 0 1
+value=100k
+}
+N 51600 42800 52600 42800 4
+C 53800 44100 1 180 0 resistor-2.sym
+{
+T 53400 43750 5 10 0 0 180 0 1
+device=RESISTOR
+T 53500 44300 5 10 1 1 180 0 1
+refdes=R8
+T 53700 44100 5 10 1 1 0 0 1
+value=100k
+}
+N 52300 42800 52300 44000 4
+N 52300 44000 52900 44000 4
+N 53800 44000 54300 44000 4
+N 54300 44000 54300 42600 4
+N 53600 42600 54700 42600 4
+C 58100 42700 1 180 0 input-2.sym
+{
+T 58100 42500 5 10 1 0 180 0 1
+net=OUT:A:1
+T 57500 42000 5 10 0 0 180 0 1
+device=none
+T 57600 42600 5 10 1 1 180 7 1
+value=A/Mono Output
+}
+C 51600 53100 1 180 0 input-2.sym
+{
+T 51600 52900 5 10 1 0 180 0 1
+net=OUT:B:1
+T 51000 52400 5 10 0 0 180 0 1
+device=none
+T 51100 53000 5 10 1 1 180 7 1
+value=B Output
+}
+N 49900 50800 49900 53000 4
+N 49900 53000 50200 53000 4
+T 50200 53400 9 10 1 0 0 0 2
+BLEND:2 is an opamp output.
+Needs 100k to ground?
+C 51000 42500 1 180 0 resistor-2.sym
+{
+T 50600 42150 5 10 0 0 180 0 1
+device=RESISTOR
+T 50700 42200 5 10 1 1 180 0 1
+refdes=R7
+T 50900 42500 5 10 1 1 0 0 1
+value=100k
+}
+N 51600 42400 51600 43200 4
+N 51000 43200 51600 43200 4
+N 51000 42400 51600 42400 4
+N 48900 42400 50100 42400 4
+C 47500 42300 1 0 0 input-2.sym
+{
+T 47500 42500 5 10 1 0 0 0 1
+net=JACKSWITCH:B:1
+T 48100 43000 5 10 0 0 0 0 1
+device=none
+T 48000 42400 5 10 1 1 0 7 1
+value=from B Jack switch
+}
+C 60300 49400 1 0 0 dual-opamp-1.sym
+{
+T 60500 51700 5 10 0 0 0 0 1
+device=DUAL_OPAMP
+T 61000 49500 5 10 1 1 0 0 1
+refdes=IC2
+T 60500 51300 5 10 0 0 0 0 1
+footprint=SO8
+T 60500 51900 5 10 0 0 0 0 1
+symversion=0.2
+T 60300 49400 5 10 0 0 0 0 1
+slot=1
+}
+C 60300 45300 1 0 0 dual-opamp-1.sym
+{
+T 60500 47600 5 10 0 0 0 0 1
+device=DUAL_OPAMP
+T 61000 45400 5 10 1 1 0 0 1
+refdes=IC2
+T 60500 47200 5 10 0 0 0 0 1
+footprint=SO8
+T 60500 47800 5 10 0 0 0 0 1
+symversion=0.2
+T 60300 45300 5 10 0 0 0 0 1
+slot=2
+}
+C 63000 49600 1 0 0 capacitor-1.sym
+{
+T 63200 50300 5 10 0 0 0 0 1
+device=CAPACITOR
+T 63400 50100 5 10 1 1 0 0 1
+refdes=C7
+T 63200 50500 5 10 0 0 0 0 1
+symversion=0.1
+T 63300 49400 5 10 1 1 0 0 1
+value=22u
+}
+N 63000 49800 61300 49800 4
+C 65100 49900 1 180 0 resistor-2.sym
+{
+T 64700 49550 5 10 0 0 180 0 1
+device=RESISTOR
+T 64800 50100 5 10 1 1 180 0 1
+refdes=R12
+T 64500 49500 5 10 1 1 0 0 1
+value=100
+}
+N 64200 49800 63900 49800 4
+C 65100 45800 1 180 0 resistor-2.sym
+{
+T 64700 45450 5 10 0 0 180 0 1
+device=RESISTOR
+T 64800 46000 5 10 1 1 180 0 1
+refdes=R13
+T 64500 45400 5 10 1 1 0 0 1
+value=100
+}
+N 64200 45700 63900 45700 4
+C 63000 45500 1 0 0 capacitor-1.sym
+{
+T 63400 46000 5 10 1 1 0 0 1
+refdes=C8
+T 63300 45300 5 10 1 1 0 0 1
+value=22u
+T 63200 46200 5 10 0 0 0 0 1
+device=CAPACITOR
+T 63200 46400 5 10 0 0 0 0 1
+symversion=0.1
+}
+N 63000 45700 61300 45700 4
+C 66600 49900 1 180 0 input-2.sym
+{
+T 66600 49700 5 10 1 0 180 0 1
+net=OUT:XLR:1
+T 66000 49200 5 10 0 0 180 0 1
+device=none
+T 66100 49800 5 10 1 1 180 7 1
+value=XLR out
+}
+C 66600 45800 1 180 0 input-2.sym
+{
+T 66600 45600 5 10 1 0 180 0 1
+net=OUT:XLR:2
+T 66000 45100 5 10 0 0 180 0 1
+device=none
+T 66100 45700 5 10 1 1 180 7 1
+value=XLR out
+}
+N 65200 45700 65100 45700 4
+N 65200 49800 65100 49800 4
+C 60000 49700 1 180 0 resistor-2.sym
+{
+T 59600 49350 5 10 0 0 180 0 1
+device=RESISTOR
+T 59700 49900 5 10 1 1 180 0 1
+refdes=R9
+T 59400 49300 5 10 1 1 0 0 1
+value=2k2
+}
+N 60300 49600 60000 49600 4
+N 60300 50000 60000 50000 4
+N 60000 50000 60000 51400 4
+N 60000 51400 62300 51400 4
+N 62300 51400 62300 49800 4
+C 60600 50600 1 0 0 vcc-2.sym
+C 60600 46300 1 0 0 vcc-2.sym
+C 60500 44500 1 0 0 vcc-minus-1.sym
+C 60500 48600 1 0 0 vcc-minus-1.sym
+N 60800 46100 60800 46300 4
+C 61000 50200 1 0 0 capacitor-1.sym
+{
+T 61200 50900 5 10 0 0 0 0 1
+device=CAPACITOR
+T 61200 50700 5 10 1 1 0 0 1
+refdes=C5
+T 61200 51100 5 10 0 0 0 0 1
+symversion=0.1
+T 61000 50200 5 10 1 1 0 0 1
+value=100n
+}
+N 60800 50600 60800 50200 4
+N 60800 50400 61000 50400 4
+N 60800 49200 60800 49400 4
+N 60800 49300 62100 49300 4
+N 62100 49300 62100 50400 4
+N 62100 50400 61900 50400 4
+N 60800 45100 60800 45300 4
+C 61200 47400 1 180 0 resistor-2.sym
+{
+T 60800 47050 5 10 0 0 180 0 1
+device=RESISTOR
+T 60900 47600 5 10 1 1 180 0 1
+refdes=R11
+T 60600 47000 5 10 1 1 0 0 1
+value=100k
+}
+C 59900 44700 1 0 0 gnd-1.sym
+N 60300 45500 60000 45500 4
+N 60000 45500 60000 45000 4
+N 60300 47300 60000 47300 4
+N 60000 45900 60000 48000 4
+N 60000 45900 60300 45900 4
+N 61200 47300 61600 47300 4
+N 61600 47300 61600 45700 4
+C 61200 48100 1 180 0 resistor-2.sym
+{
+T 60800 47750 5 10 0 0 180 0 1
+device=RESISTOR
+T 60900 48300 5 10 1 1 180 0 1
+refdes=R10
+T 60600 47700 5 10 1 1 0 0 1
+value=100k
+}
+N 62300 49800 62300 48000 4
+N 62300 48000 61200 48000 4
+N 60300 48000 60000 48000 4
+C 62700 46000 1 90 0 zener-2.sym
+{
+T 62200 46400 5 10 0 0 90 0 1
+device=ZENER_DIODE
+T 62400 46300 5 10 1 1 90 0 1
+refdes=Z2
+}
+C 62800 50100 1 90 0 zener-2.sym
+{
+T 62300 50500 5 10 0 0 90 0 1
+device=ZENER_DIODE
+T 62500 50400 5 10 1 1 90 0 1
+refdes=Z1
+}
+N 62600 46000 62600 45700 4
+N 62700 50100 62700 49800 4
+C 62500 51200 1 0 0 vcc-2.sym
+N 62700 51200 62700 50900 4
+C 62400 47100 1 0 0 vcc-2.sym
+N 62600 47100 62600 46800 4
+T 58800 52400 9 10 1 0 0 0 1
+DI box
+B 58800 44200 8400 8000 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1
+C 54700 42400 1 0 0 capacitor-4.sym
+{
+T 54900 43500 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 54900 42900 5 10 1 1 0 0 1
+refdes=C6
+T 54900 43100 5 10 0 0 0 0 1
+symversion=0.1
+T 54700 42400 5 10 1 1 0 0 1
+value=1u
+}
+C 55800 42300 1 270 0 resistor-2.sym
+{
+T 56150 41900 5 10 0 0 270 0 1
+device=RESISTOR
+T 56100 41800 5 10 1 1 0 0 1
+refdes=R4
+T 56100 41600 5 10 1 1 0 0 1
+value=100k
+}
+C 55600 40600 1 0 0 vcc-minus-1.sym
+N 55600 42600 56700 42600 4
+N 55900 42600 55900 42300 4
+N 55900 41400 55900 41200 4
+T 47400 41900 9 10 1 0 0 0 1
+doesn't this need a pulldown?
+T 47400 39700 9 10 1 0 0 0 9
+When there's no cable connected to output B,
+the signal on JACKSWITCH:B:1 is the same as
+the signal on OUT:B:1. The circuit will mix A
+and B to one mono signal.
+
+When a cable is connected, i.e. when stereo
+output is requested, there is no signal on
+JACKSWITCH:B:1. The total output of the opamp
+will be BLEND:1 (A).
+N 55800 50200 56100 50200 4
diff --git a/gschem/preamp_bass.sch b/gschem/preamp_bass.sch
new file mode 100644
index 0000000..579ebb9
--- /dev/null
+++ b/gschem/preamp_bass.sch
@@ -0,0 +1,225 @@
+v 20110115 2
+C 40000 40000 0 0 0 title-B.sym
+C 46100 47700 1 0 1 capacitor-4.sym
+{
+T 45900 48800 5 10 0 0 0 6 1
+device=POLARIZED_CAPACITOR
+T 45800 48200 5 10 1 1 0 6 1
+refdes=C4
+T 45900 48400 5 10 0 0 0 6 1
+symversion=0.1
+T 45500 47400 5 10 1 1 0 0 1
+value=10u
+}
+C 48200 46500 1 90 0 capacitor-4.sym
+{
+T 47100 46700 5 10 0 0 90 0 1
+device=POLARIZED_CAPACITOR
+T 47700 47200 5 10 1 1 180 0 1
+refdes=C3
+T 47500 46700 5 10 0 0 90 0 1
+symversion=0.1
+T 47300 46800 5 10 1 1 0 0 1
+value=3.3u
+}
+C 46500 48200 1 90 0 resistor-2.sym
+{
+T 46150 48600 5 10 0 0 90 0 1
+device=RESISTOR
+T 46200 48900 5 10 1 1 180 0 1
+refdes=R8
+T 45900 48600 5 10 1 1 0 0 1
+value=10k
+}
+C 46500 46700 1 90 0 resistor-2.sym
+{
+T 46150 47100 5 10 0 0 90 0 1
+device=RESISTOR
+T 46200 47300 5 10 1 1 180 0 1
+refdes=R7
+T 45900 47000 5 10 1 1 0 0 1
+value=10k
+}
+C 47600 48000 1 180 0 resistor-2.sym
+{
+T 47200 47650 5 10 0 0 180 0 1
+device=RESISTOR
+T 47300 48200 5 10 1 1 180 0 1
+refdes=R6
+T 47000 47600 5 10 1 1 0 0 1
+value=5.1M
+}
+N 46100 47900 46700 47900 4
+N 45200 47900 44800 47900 4
+N 44800 47900 44800 46500 4
+N 46400 46700 46400 46500 4
+N 46400 49100 46400 49800 4
+C 45500 44700 1 0 0 capacitor-1.sym
+{
+T 45700 45400 5 10 0 0 0 0 1
+device=CAPACITOR
+T 45800 45200 5 10 1 1 0 0 1
+refdes=C1
+T 45700 45600 5 10 0 0 0 0 1
+symversion=0.1
+T 45700 44500 5 10 1 1 0 0 1
+value=0.47u
+}
+C 48000 43500 1 90 0 capacitor-1.sym
+{
+T 47300 43700 5 10 0 0 90 0 1
+device=CAPACITOR
+T 48300 44200 5 10 1 1 180 0 1
+refdes=C2
+T 47100 43700 5 10 0 0 90 0 1
+symversion=0.1
+T 48100 43800 5 10 1 1 0 0 1
+value=10p
+}
+C 48300 47700 1 0 0 JFET_N-Channel-1.sym
+{
+T 48650 48500 5 10 1 1 0 6 1
+model=J201
+T 48300 49750 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+N 47600 47900 48300 47900 4
+N 48000 47400 48000 47900 4
+C 48300 44700 1 0 0 JFET_N-Channel-1.sym
+{
+T 48650 45500 5 10 1 1 0 6 1
+model=J201
+T 48300 46750 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+C 50000 45700 1 0 0 JFET_N-Channel-1.sym
+{
+T 50850 46000 5 10 1 1 0 6 1
+model=J201
+T 50000 47750 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+N 48700 47700 48700 45900 4
+N 48000 45900 50000 45900 4
+N 48000 45900 48000 46500 4
+N 49500 45900 49500 46300 4
+N 48700 45900 48700 45500 4
+C 49400 49800 1 270 0 resistor-2.sym
+{
+T 49750 49400 5 10 0 0 270 0 1
+device=RESISTOR
+T 49700 49400 5 10 1 1 0 0 1
+refdes=R9
+T 49700 49200 5 10 1 1 0 0 1
+value=100
+}
+C 50900 48800 1 180 1 capacitor-4.sym
+{
+T 51100 47700 5 10 0 0 180 6 1
+device=POLARIZED_CAPACITOR
+T 51200 49000 5 10 1 1 180 6 1
+refdes=C5
+T 51100 48100 5 10 0 0 180 6 1
+symversion=0.1
+T 51100 48200 5 10 1 1 0 0 1
+value=100u
+}
+N 48700 48500 48700 48600 4
+N 48700 48600 50900 48600 4
+N 49500 48900 49500 48600 4
+N 50400 46500 50400 48600 4
+C 50300 44500 1 270 0 resistor-2.sym
+{
+T 50650 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 50600 44000 5 10 1 1 0 0 1
+refdes=R5
+T 50600 43800 5 10 1 1 0 0 1
+value=10k
+}
+C 48600 44500 1 270 0 resistor-2.sym
+{
+T 48950 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 48900 44000 5 10 1 1 0 0 1
+refdes=R2
+T 48900 43800 5 10 1 1 0 0 1
+value=6k8
+}
+C 49400 44500 1 270 0 resistor-2.sym
+{
+T 49750 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 49700 44000 5 10 1 1 0 0 1
+refdes=R3
+T 49700 43800 5 10 1 1 0 0 1
+value=220k
+}
+N 49500 45900 49500 44500 4
+N 50400 45700 50400 44500 4
+N 48700 44700 48700 44500 4
+N 46400 44900 48300 44900 4
+N 47800 44900 47800 44400 4
+C 46800 44500 1 270 0 resistor-2.sym
+{
+T 47150 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 46500 44000 5 10 1 1 0 0 1
+refdes=R1
+T 46400 43800 5 10 1 1 0 0 1
+value=10M
+}
+N 46900 44500 46900 44900 4
+C 43600 44800 1 0 0 input-2.sym
+{
+T 43700 44500 5 10 1 0 0 0 1
+net=INPUT:2
+T 44200 45500 5 10 0 0 0 0 1
+device=none
+T 44100 44900 5 10 1 1 0 7 1
+value=BASS
+}
+N 45000 44900 45500 44900 4
+C 46200 49800 1 0 0 vcc-2.sym
+C 49300 49800 1 0 0 vcc-2.sym
+C 49300 47400 1 0 0 vcc-2.sym
+C 44500 45900 1 0 0 vcc-minus-1.sym
+C 46100 45900 1 0 0 vcc-minus-1.sym
+C 46600 42900 1 0 0 vcc-minus-1.sym
+C 47500 42900 1 0 0 vcc-minus-1.sym
+C 48400 42900 1 0 0 vcc-minus-1.sym
+C 49200 42900 1 0 0 vcc-minus-1.sym
+C 50100 42900 1 0 0 vcc-minus-1.sym
+C 51700 47400 1 0 0 vcc-minus-1.sym
+N 51800 48600 52000 48600 4
+N 52000 48600 52000 48000 4
+N 46400 47900 46400 48200 4
+N 46400 47600 46400 47900 4
+N 46900 43500 46900 43600 4
+N 48700 43500 48700 43600 4
+N 49500 43500 49500 43600 4
+N 50400 43500 50400 43600 4
+C 52900 45000 1 180 0 input-2.sym
+{
+T 53000 44700 5 10 1 0 180 0 1
+net=PREAMP:2
+T 52300 44300 5 10 0 0 180 0 1
+device=none
+T 52400 44900 5 10 1 1 180 7 1
+value=BASS OUTPUT
+}
+N 51500 44900 50400 44900 4
+N 49500 47200 49500 47400 4
+C 49400 47200 1 270 0 resistor-2.sym
+{
+T 49750 46800 5 10 0 0 270 0 1
+device=RESISTOR
+T 49700 46700 5 10 1 1 0 0 1
+refdes=R4
+T 49700 46500 5 10 1 1 0 0 1
+value=220k
+}
+T 50200 40900 9 16 1 0 0 0 1
+Preamp (Bass)
+T 52200 44000 9 10 1 0 0 0 1
+TODO: need coupling capacitor?
diff --git a/gschem/preamp_melody.sch b/gschem/preamp_melody.sch
new file mode 100644
index 0000000..cf33617
--- /dev/null
+++ b/gschem/preamp_melody.sch
@@ -0,0 +1,225 @@
+v 20110115 2
+C 40000 40000 0 0 0 title-B.sym
+C 46100 47700 1 0 1 capacitor-4.sym
+{
+T 45900 48800 5 10 0 0 0 6 1
+device=POLARIZED_CAPACITOR
+T 45800 48200 5 10 1 1 0 6 1
+refdes=C4
+T 45900 48400 5 10 0 0 0 6 1
+symversion=0.1
+T 45500 47400 5 10 1 1 0 0 1
+value=10u
+}
+C 48200 46500 1 90 0 capacitor-4.sym
+{
+T 47100 46700 5 10 0 0 90 0 1
+device=POLARIZED_CAPACITOR
+T 47700 47200 5 10 1 1 180 0 1
+refdes=C3
+T 47500 46700 5 10 0 0 90 0 1
+symversion=0.1
+T 47300 46800 5 10 1 1 0 0 1
+value=3.3u
+}
+C 46500 48200 1 90 0 resistor-2.sym
+{
+T 46150 48600 5 10 0 0 90 0 1
+device=RESISTOR
+T 46200 48900 5 10 1 1 180 0 1
+refdes=R8
+T 45900 48600 5 10 1 1 0 0 1
+value=10k
+}
+C 46500 46700 1 90 0 resistor-2.sym
+{
+T 46150 47100 5 10 0 0 90 0 1
+device=RESISTOR
+T 46200 47300 5 10 1 1 180 0 1
+refdes=R7
+T 45900 47000 5 10 1 1 0 0 1
+value=10k
+}
+C 47600 48000 1 180 0 resistor-2.sym
+{
+T 47200 47650 5 10 0 0 180 0 1
+device=RESISTOR
+T 47300 48200 5 10 1 1 180 0 1
+refdes=R6
+T 47000 47600 5 10 1 1 0 0 1
+value=5.1M
+}
+N 46100 47900 46700 47900 4
+N 45200 47900 44800 47900 4
+N 44800 47900 44800 46500 4
+N 46400 46700 46400 46500 4
+N 46400 49100 46400 49800 4
+C 45500 44700 1 0 0 capacitor-1.sym
+{
+T 45700 45400 5 10 0 0 0 0 1
+device=CAPACITOR
+T 45800 45200 5 10 1 1 0 0 1
+refdes=C1
+T 45700 45600 5 10 0 0 0 0 1
+symversion=0.1
+T 45700 44500 5 10 1 1 0 0 1
+value=0.47u
+}
+C 48000 43500 1 90 0 capacitor-1.sym
+{
+T 47300 43700 5 10 0 0 90 0 1
+device=CAPACITOR
+T 48300 44200 5 10 1 1 180 0 1
+refdes=C2
+T 47100 43700 5 10 0 0 90 0 1
+symversion=0.1
+T 48100 43800 5 10 1 1 0 0 1
+value=10p
+}
+C 48300 47700 1 0 0 JFET_N-Channel-1.sym
+{
+T 48650 48500 5 10 1 1 0 6 1
+model=J201
+T 48300 49750 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+N 47600 47900 48300 47900 4
+N 48000 47400 48000 47900 4
+C 48300 44700 1 0 0 JFET_N-Channel-1.sym
+{
+T 48650 45500 5 10 1 1 0 6 1
+model=J201
+T 48300 46750 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+C 50000 45700 1 0 0 JFET_N-Channel-1.sym
+{
+T 50850 46000 5 10 1 1 0 6 1
+model=J201
+T 50000 47750 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+N 48700 47700 48700 45900 4
+N 48000 45900 50000 45900 4
+N 48000 45900 48000 46500 4
+N 49500 45900 49500 46300 4
+N 48700 45900 48700 45500 4
+C 49400 49800 1 270 0 resistor-2.sym
+{
+T 49750 49400 5 10 0 0 270 0 1
+device=RESISTOR
+T 49700 49400 5 10 1 1 0 0 1
+refdes=R9
+T 49700 49200 5 10 1 1 0 0 1
+value=100
+}
+C 50900 48800 1 180 1 capacitor-4.sym
+{
+T 51100 47700 5 10 0 0 180 6 1
+device=POLARIZED_CAPACITOR
+T 51200 49000 5 10 1 1 180 6 1
+refdes=C5
+T 51100 48100 5 10 0 0 180 6 1
+symversion=0.1
+T 51100 48200 5 10 1 1 0 0 1
+value=100u
+}
+N 48700 48500 48700 48600 4
+N 48700 48600 50900 48600 4
+N 49500 48900 49500 48600 4
+N 50400 46500 50400 48600 4
+C 50300 44500 1 270 0 resistor-2.sym
+{
+T 50650 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 50600 44000 5 10 1 1 0 0 1
+refdes=R5
+T 50600 43800 5 10 1 1 0 0 1
+value=10k
+}
+C 48600 44500 1 270 0 resistor-2.sym
+{
+T 48950 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 48900 44000 5 10 1 1 0 0 1
+refdes=R2
+T 48900 43800 5 10 1 1 0 0 1
+value=6k8
+}
+C 49400 44500 1 270 0 resistor-2.sym
+{
+T 49750 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 49700 44000 5 10 1 1 0 0 1
+refdes=R3
+T 49700 43800 5 10 1 1 0 0 1
+value=220k
+}
+N 49500 45900 49500 44500 4
+N 50400 45700 50400 44500 4
+N 48700 44700 48700 44500 4
+N 46400 44900 48300 44900 4
+N 47800 44900 47800 44400 4
+C 46800 44500 1 270 0 resistor-2.sym
+{
+T 47150 44100 5 10 0 0 270 0 1
+device=RESISTOR
+T 46500 44000 5 10 1 1 0 0 1
+refdes=R1
+T 46400 43800 5 10 1 1 0 0 1
+value=10M
+}
+N 46900 44500 46900 44900 4
+C 43600 44800 1 0 0 input-2.sym
+{
+T 43500 44500 5 10 1 0 0 0 1
+net=INPUT:1
+T 44200 45500 5 10 0 0 0 0 1
+device=none
+T 44100 44900 5 10 1 1 0 7 1
+value=MELODY
+}
+N 45000 44900 45500 44900 4
+C 46200 49800 1 0 0 vcc-2.sym
+C 49300 49800 1 0 0 vcc-2.sym
+C 49300 47400 1 0 0 vcc-2.sym
+C 44500 45900 1 0 0 vcc-minus-1.sym
+C 46100 45900 1 0 0 vcc-minus-1.sym
+C 46600 42900 1 0 0 vcc-minus-1.sym
+C 47500 42900 1 0 0 vcc-minus-1.sym
+C 48400 42900 1 0 0 vcc-minus-1.sym
+C 49200 42900 1 0 0 vcc-minus-1.sym
+C 50100 42900 1 0 0 vcc-minus-1.sym
+C 51700 47400 1 0 0 vcc-minus-1.sym
+N 51800 48600 52000 48600 4
+N 52000 48600 52000 48000 4
+N 46400 47900 46400 48200 4
+N 46400 47600 46400 47900 4
+N 46900 43500 46900 43600 4
+N 48700 43500 48700 43600 4
+N 49500 43500 49500 43600 4
+N 50400 43500 50400 43600 4
+C 52900 45000 1 180 0 input-2.sym
+{
+T 53000 44700 5 10 1 0 180 0 1
+net=PREAMP:1
+T 52300 44300 5 10 0 0 180 0 1
+device=none
+T 52400 44900 5 10 1 1 180 7 1
+value=MELODY OUTPUT
+}
+N 51500 44900 50400 44900 4
+N 49500 47200 49500 47400 4
+C 49400 47200 1 270 0 resistor-2.sym
+{
+T 49750 46800 5 10 0 0 270 0 1
+device=RESISTOR
+T 49700 46700 5 10 1 1 0 0 1
+refdes=R4
+T 49700 46500 5 10 1 1 0 0 1
+value=220k
+}
+T 50200 40900 9 16 1 0 0 0 1
+Preamp (Melody)
+T 52200 44000 9 10 1 0 0 0 1
+TODO: need coupling capacitor?
diff --git a/gschem/project b/gschem/project
new file mode 100644
index 0000000..d128c7a
--- /dev/null
+++ b/gschem/project
@@ -0,0 +1,2 @@
+schematics preamp_bass.sch preamp_melody.sch tuner.sch crossover.sch outputs.sch
+output-name board
diff --git a/gschem/tuner.sch b/gschem/tuner.sch
new file mode 100644
index 0000000..8cf53cd
--- /dev/null
+++ b/gschem/tuner.sch
@@ -0,0 +1,277 @@
+v 20110115 2
+C 45600 39800 1 270 0 resistor-2.sym
+{
+T 45950 39400 5 10 0 0 270 0 1
+device=RESISTOR
+T 45900 39300 5 10 1 1 0 0 1
+refdes=R4
+T 45900 39100 5 10 1 1 0 0 1
+value=22k
+}
+N 45700 41000 45700 39800 4
+C 39000 41400 1 0 0 input-2.sym
+{
+T 39000 41100 5 10 1 0 0 0 1
+net=PREAMP:1
+T 39600 42100 5 10 0 0 0 0 1
+device=none
+T 39500 41500 5 10 1 1 0 7 1
+value=from melody preamp
+}
+N 40400 41500 40900 41500 4
+C 46100 37900 1 0 0 vcc-minus-1.sym
+C 45400 37900 1 0 0 vcc-minus-1.sym
+N 45700 38500 45700 38900 4
+C 50200 40400 1 180 0 input-2.sym
+{
+T 50200 40200 5 10 1 0 180 0 1
+net=TUNER
+T 49600 39700 5 10 0 0 180 0 1
+device=none
+T 49700 40300 5 10 1 1 180 7 1
+value=TUNER OUT
+}
+C 39000 39800 1 0 0 input-2.sym
+{
+T 39000 39500 5 10 1 0 0 0 1
+net=PREAMP:2
+T 39600 40500 5 10 0 0 0 0 1
+device=none
+T 39500 39900 5 10 1 1 0 7 1
+value=from bass preamp
+}
+N 40400 39900 40900 39900 4
+C 46200 41600 1 180 0 resistor-variable-2.sym
+{
+T 45850 42100 5 10 1 1 180 0 1
+refdes=R3
+T 45400 40700 5 10 0 1 180 0 1
+device=VARIABLE_RESISTOR
+T 45500 41700 5 10 1 1 0 0 1
+value=100k?
+}
+N 46200 41500 46400 41500 4
+N 46400 41500 46400 38500 4
+N 45700 40300 48800 40300 4
+T 45900 38800 9 10 1 0 0 0 1
+taper resistor
+T 42200 40500 9 10 1 0 0 0 1
+TODO: turn into active mixer
+T 53500 37800 9 16 1 0 0 0 1
+Tuner output and melody Send/Return
+C 42400 41400 1 0 0 resistor-2.sym
+{
+T 42800 41750 5 10 0 0 0 0 1
+device=RESISTOR
+T 43000 41800 5 10 1 1 180 0 1
+refdes=R2
+T 43000 41300 5 10 1 1 180 0 1
+value=10k
+}
+N 41800 41500 42400 41500 4
+C 42400 39800 1 0 0 resistor-2.sym
+{
+T 42800 40150 5 10 0 0 0 0 1
+device=RESISTOR
+T 43000 40200 5 10 1 1 180 0 1
+refdes=R1
+T 43000 39700 5 10 1 1 180 0 1
+value=10k
+}
+N 42400 39900 41800 39900 4
+N 43700 39900 43700 41500 4
+N 43700 39900 43300 39900 4
+T 42200 40800 9 10 1 0 0 0 1
+passive mixer
+C 45500 50200 1 0 0 JFET_N-Channel-1.sym
+{
+T 45850 51000 5 10 1 1 0 6 1
+model=J201
+T 45500 52250 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+C 45500 46100 1 0 0 JFET_N-Channel-1.sym
+{
+T 45850 46900 5 10 1 1 0 6 1
+model=J201
+T 45500 48150 5 10 0 0 0 0 1
+device=JFET N-Channel
+}
+C 42700 49100 1 90 0 resistor-2.sym
+{
+T 42350 49500 5 10 0 0 90 0 1
+device=RESISTOR
+T 42800 49600 5 10 1 1 0 0 1
+refdes=R1
+T 42800 49400 5 10 1 1 0 0 1
+value=5M1
+}
+N 42100 41500 42000 50400 4
+C 42300 48500 1 0 0 vcc-minus-1.sym
+N 42600 50000 42600 50800 4
+C 42700 50800 1 90 0 resistor-2.sym
+{
+T 42350 51200 5 10 0 0 90 0 1
+device=RESISTOR
+T 42800 51300 5 10 1 1 0 0 1
+refdes=R1
+T 42800 51100 5 10 1 1 0 0 1
+value=5M1
+}
+C 42400 52100 1 0 0 vcc-2.sym
+N 42600 52100 42600 51700 4
+C 45700 52100 1 0 0 vcc-2.sym
+N 45900 52100 45900 51000 4
+N 45900 50000 45900 50200 4
+N 45900 50100 46200 50100 4
+C 45700 47400 1 0 0 vcc-2.sym
+N 45900 47400 45900 46900 4
+C 46000 45000 1 90 0 resistor-2.sym
+{
+T 45650 45400 5 10 0 0 90 0 1
+device=RESISTOR
+T 46100 45500 5 10 1 1 0 0 1
+refdes=R1
+T 46100 45300 5 10 1 1 0 0 1
+value=4k7
+}
+C 45600 44300 1 0 0 vcc-minus-1.sym
+N 45900 46100 45900 45900 4
+N 45500 46300 44700 46300 4
+N 44700 46300 44700 50400 4
+N 45900 45000 45900 44900 4
+C 37200 36900 0 0 0 title-A2.sym
+N 42000 50400 42600 50400 4
+N 42600 50400 45500 50400 4
+C 46000 49100 1 90 0 resistor-2.sym
+{
+T 45650 49500 5 10 0 0 90 0 1
+device=RESISTOR
+T 46100 49600 5 10 1 1 0 0 1
+refdes=R1
+T 46100 49400 5 10 1 1 0 0 1
+value=4k7
+}
+C 45600 48400 1 0 0 vcc-minus-1.sym
+N 45900 49000 45900 49100 4
+C 46200 45800 1 0 0 capacitor-4.sym
+{
+T 46400 46900 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 46400 46300 5 10 1 1 0 0 1
+refdes=C301
+T 46400 46500 5 10 0 0 0 0 1
+symversion=0.1
+T 46200 45800 5 10 1 1 0 0 1
+value=1u
+}
+N 46200 46000 45900 46000 4
+C 46200 49900 1 0 0 capacitor-4.sym
+{
+T 46400 51000 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 46400 50400 5 10 1 1 0 0 1
+refdes=C302
+T 46400 50600 5 10 0 0 0 0 1
+symversion=0.1
+T 46200 49900 5 10 1 1 0 0 1
+value=1u
+}
+C 40900 41300 1 0 0 capacitor-4.sym
+{
+T 41100 42400 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 41100 41800 5 10 1 1 0 0 1
+refdes=C303
+T 41100 42000 5 10 0 0 0 0 1
+symversion=0.1
+T 40900 41300 5 10 1 1 0 0 1
+value=1u
+}
+C 40900 39700 1 0 0 capacitor-4.sym
+{
+T 41100 40800 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 41100 40200 5 10 1 1 0 0 1
+refdes=C304
+T 41100 40400 5 10 0 0 0 0 1
+symversion=0.1
+T 40900 39700 5 10 1 1 0 0 1
+value=1u
+}
+C 48300 46100 1 180 0 resistor-variable-2.sym
+{
+T 47950 46600 5 10 1 1 180 0 1
+refdes=R3
+T 47500 45200 5 10 0 1 180 0 1
+device=VARIABLE_RESISTOR
+T 47600 46200 5 10 1 1 0 0 1
+value=100k?
+}
+C 47700 45100 1 270 0 resistor-2.sym
+{
+T 48050 44700 5 10 0 0 270 0 1
+device=RESISTOR
+T 48000 44600 5 10 1 1 0 0 1
+refdes=R4
+T 48000 44400 5 10 1 1 0 0 1
+value=22k
+}
+C 47500 43400 1 0 0 vcc-minus-1.sym
+N 47800 45100 47800 45500 4
+N 47800 44000 47800 44200 4
+N 47400 46000 47100 46000 4
+C 48300 43400 1 0 0 vcc-minus-1.sym
+N 48300 46000 48600 46000 4
+N 48600 46000 48600 44000 4
+N 47800 45300 50400 45300 4
+C 51800 45400 1 180 0 input-2.sym
+{
+T 51800 45200 5 10 1 0 180 0 1
+net=SEND:1
+T 51200 44700 5 10 0 0 180 0 1
+device=none
+T 51300 45300 5 10 1 1 180 7 1
+value=melody send
+}
+C 47500 49100 1 90 0 resistor-2.sym
+{
+T 47150 49500 5 10 0 0 90 0 1
+device=RESISTOR
+T 47600 49600 5 10 1 1 0 0 1
+refdes=R1
+T 47600 49400 5 10 1 1 0 0 1
+value=100k
+}
+C 47100 48400 1 0 0 vcc-minus-1.sym
+N 47100 50100 50400 50100 4
+N 47400 50100 47400 50000 4
+N 47400 49100 47400 49000 4
+C 51800 50200 1 180 0 input-2.sym
+{
+T 51800 50000 5 10 1 0 180 0 1
+net=BLEND:1
+T 51200 49500 5 10 0 0 180 0 1
+device=none
+T 51300 50100 5 10 1 1 180 7 1
+value=melody blend w/ return
+}
+T 47100 51500 9 12 1 0 0 0 1
+Buffered outputs
+T 48000 44100 9 10 1 0 0 0 1
+taper resistor
+T 48100 46600 9 10 1 0 0 0 1
+trim pot to control send level
+C 44100 41300 1 0 0 capacitor-4.sym
+{
+T 44300 42400 5 10 0 0 0 0 1
+device=POLARIZED_CAPACITOR
+T 44300 41800 5 10 1 1 0 0 1
+refdes=C305
+T 44300 42000 5 10 0 0 0 0 1
+symversion=0.1
+T 44100 41300 5 10 1 1 0 0 1
+value=1u
+}
+N 43300 41500 44100 41500 4
+N 45000 41500 45300 41500 4